SlideShare a Scribd company logo
Advanced eXtensible
Interface (AXI)
Advanced extensible Interface (AXI)
AXI, the third generation of AMBA interface AMBA 3
specification, is targeted at high performance, high clock
frequency system designs and suitable for high speed
sub-micrometer interconnect:
 separate address/control and data phases
 support for unaligned data transfers using byte strobes
 burst based transactions with only start address issued &
issuing of multiple outstanding addresses
 easy addition of register stages to provide timing closure.
AXI features
 AMBA AXI 3.0/4.0 Verification IP provides an smart way to verify
the AMBA AXI 3.0/4.0 component of a SOC or a ASIC.
 AMBA AXI 3.0/4.0 VIP is supported natively in SystemVerilog,
VMM, RVM, AVM, OVM, UVM, Verilog, SystemC, VERA,
Specman E and non-standard verification environment.
 Burst types
The AXI protocol supports three different burst types that are
suitable for:
• normal memory accesses
• wrapping cache line bursts
• streaming data to peripheral FIFO locations.
AXI features (cont..)
● System cache support
The cache-support signal of the AXI protocol enables a master to
provide to a system-level cache the bufferable, cacheable, and allocate
attributes of a transaction.
● Protection unit support
To enable both privileged and secure accesses, the AXI protocol
provides three levels of protection unit support.
● Atomic operations
The AXI protocol defines mechanisms for both exclusive and locked
accesses.
AXI features (cont..)
● Error support
The AXI protocol provides error support for both address decode
errors and slave-generated errors.
● Unaligned address
To enhance the performance of the initial accesses within a burst, the
AXI protocol supports unaligned burst start addresses
How AXI work?
● AXI consist of five different channels:
• Write Address Channel
• Write Data Channel
• Write Response Channel
• Read Address Channel
• Read Data Channel
AXI bus - model
AXI -WRITE operation archietecture
Master
Interface
Slave
interface
AXI -READ operation archietecture
Master
Interface
Slave
interface
Transaction channel handshake pairs
Transaction channel Handshake pair
● Write address channel AWVALID, AWREADY
● Write data channel WVALID, WREADY
● Write response channel BVALID, BREADY
● Read address channel ARVALID, ARREADY
● Read data channel RVALID, RREADY
Basic transactions
● Read burst example
Read burst example
● In this example, the master drives the address, and the slave
accepts it one cycle later.
● The master also drives a set of control signals showing the
length and type of the burst,but these signals are omitted
from the figure for clarity.
● After the address appears on the address bus, the data
transfer occurs on the read data channel. The slave keeps the
VA L I D signal LOW until the read data is available. For
the final data transfer of the burst, the slave asserts the
RLAST signal to show that the last data item is being
transferred.
Overlapping read burst example
Figure shows how a master can drive another burst address after the slave accepts
the first address. This enables a slave to begin processing data for the second burst in
parallel with the completion of the first burst.
Write burst example
Write burst
● Figure shows a write transaction. The process starts when
the master sends an address and control information on the
write address channel. The master then sends each item of
write data over the write data channel. When the master
sends the last data item, the WLAST signal goes HIGH.
When the slave has accepted all the data items, it drives a
write response back to the master to indicate that the write
transaction is complete
Comparing AMBA AHB to AXI Bus-
System Modeling
● AMBA AHB
● single-channel, shared bus.
 A 128 bit bus running
at 400 MHz.
 The AHB bus speed was
assumed to be double the
AXI Bus, and two times the
width.
● AMBA AXI
● Multi-channel, read/write
optimized bus.
 A 64 bit bus running at 200
Mhz
 The primary throughput
channels- R/W data channels,
while the address, response
channels are to improve
pipelining of multiple
requests.
The simulation study between AMBA AHB
and AMBA AXI-Results
The AHB Bus performed best for the given traffic rates
and sizes. The AXI Bus was rated higher for throughput.
Bus Type Latency Throughput Power
AHB Bus Excellent Good Excellent
AXI Bus Good Excellent Good
Throughput
● Throughput or network throughput is the average
rate of successful message delivery over a
communication channel.
● It is closely related to the channel capacity of the
system, and is the maximum possible quantity of
data that can be transmitted under ideal
circumstances.
● The throughput is usually measured in bits per
second (bit/s or bps), data packets per second or data
packets per time slot.
AXI benefits
 Faster testbench development and more complete
verification of AMBA AXI 3.0/4.0 designs.
 Easy to use command interface simplifies testbench
control and configuration of master and slave.
 Simplifies results analysis.
 Runs in every major simulation environment.
Drawback of AXI
● The AMBA AXI4 has limitations with respect to the
burst data and beats of information to be transferred.
● The burst must not cross the 4k boundary. Bursts
longer than 16 beats are only supported for the
INCR burst type.
● Both WRAP and FIXED burst types remain
constrained to a maximum burst length of 16 beats.
These are the drawbacks of AMBA AXI system
which need to be overcome.
T
Summary of AXI
● Productivity—By standardizing on the AXI
interface, developers need to learn only single
protocol for IP.
● Flexibility- AXI4 memory mapped interfaces and
allows burst of up to 256 data transfer cycles with
just a single address phase.
● AXI4-Stream removes the requirement for an
address phase altogether and allows unlimited data
burst size.
Summary of AXI cont...
● Availability—By moving to an industry-standard,
access to a worldwide community of ARM
Partners.
● Many IP providers support the AXI protocol.
● A robust collection of third-party AXI tool vendors
is available that provide a variety of verification,
system development, and performance
characterization tools.
Axi

More Related Content

What's hot

AXI Protocol.pptx
AXI Protocol.pptxAXI Protocol.pptx
AXI Protocol.pptx
Yazan Yousef
 
Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...
eSAT Journals
 
Apb
ApbApb
APB protocol v1.0
APB protocol v1.0APB protocol v1.0
APB protocol v1.0
Azad Mishra
 
AMBA AHB 5
AMBA AHB 5AMBA AHB 5
AMBA AHB 5
SUNODH GARLAPATI
 
Amba presentation2
Amba presentation2Amba presentation2
Amba presentation2
Rashi Aggarwal
 
AMBA 3 APB Protocol
AMBA 3 APB ProtocolAMBA 3 APB Protocol
AMBA 3 APB Protocol
Swetha GSM
 
AMBA3.0 james_20110801
AMBA3.0 james_20110801AMBA3.0 james_20110801
AMBA3.0 james_20110801
James Chang
 
Introduction about APB Protocol
Introduction about APB ProtocolIntroduction about APB Protocol
Introduction about APB Protocol
Pushpa Yakkala
 
UVM Ral model usage
UVM Ral model usageUVM Ral model usage
UVM Ral model usage
Parth Pandya
 
Spi master core verification
Spi master core verificationSpi master core verification
Spi master core verificationMaulik Suthar
 
AMBA 2.0
AMBA 2.0AMBA 2.0
PCIe DL_layer_3.0.1 (1)
PCIe DL_layer_3.0.1 (1)PCIe DL_layer_3.0.1 (1)
PCIe DL_layer_3.0.1 (1)
Rakeshkumar Sachdev
 
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertions
HARINATH REDDY
 
UVM Driver sequencer handshaking
UVM Driver sequencer handshakingUVM Driver sequencer handshaking
UVM Driver sequencer handshaking
HARINATH REDDY
 
AMBA 5 COHERENT HUB INTERFACE.pptx
AMBA 5 COHERENT HUB INTERFACE.pptxAMBA 5 COHERENT HUB INTERFACE.pptx
AMBA 5 COHERENT HUB INTERFACE.pptx
Sairam Chebrolu
 
The I2C Interface
The I2C InterfaceThe I2C Interface
The I2C Interface
Corrado Santoro
 

What's hot (20)

AXI Protocol.pptx
AXI Protocol.pptxAXI Protocol.pptx
AXI Protocol.pptx
 
Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...
 
Apb
ApbApb
Apb
 
APB protocol v1.0
APB protocol v1.0APB protocol v1.0
APB protocol v1.0
 
AMBA AHB 5
AMBA AHB 5AMBA AHB 5
AMBA AHB 5
 
AMBA 2.0 PPT
AMBA 2.0 PPTAMBA 2.0 PPT
AMBA 2.0 PPT
 
Amba presentation2
Amba presentation2Amba presentation2
Amba presentation2
 
AMBA 3 APB Protocol
AMBA 3 APB ProtocolAMBA 3 APB Protocol
AMBA 3 APB Protocol
 
AMBA3.0 james_20110801
AMBA3.0 james_20110801AMBA3.0 james_20110801
AMBA3.0 james_20110801
 
Introduction about APB Protocol
Introduction about APB ProtocolIntroduction about APB Protocol
Introduction about APB Protocol
 
AMBA_APB_pst
AMBA_APB_pstAMBA_APB_pst
AMBA_APB_pst
 
UVM Ral model usage
UVM Ral model usageUVM Ral model usage
UVM Ral model usage
 
Spi master core verification
Spi master core verificationSpi master core verification
Spi master core verification
 
AMBA 2.0
AMBA 2.0AMBA 2.0
AMBA 2.0
 
PCIe
PCIePCIe
PCIe
 
PCIe DL_layer_3.0.1 (1)
PCIe DL_layer_3.0.1 (1)PCIe DL_layer_3.0.1 (1)
PCIe DL_layer_3.0.1 (1)
 
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertions
 
UVM Driver sequencer handshaking
UVM Driver sequencer handshakingUVM Driver sequencer handshaking
UVM Driver sequencer handshaking
 
AMBA 5 COHERENT HUB INTERFACE.pptx
AMBA 5 COHERENT HUB INTERFACE.pptxAMBA 5 COHERENT HUB INTERFACE.pptx
AMBA 5 COHERENT HUB INTERFACE.pptx
 
The I2C Interface
The I2C InterfaceThe I2C Interface
The I2C Interface
 

Similar to Axi

Ey3110051010
Ey3110051010Ey3110051010
Ey3110051010
IJERA Editor
 
Iaetsd asynchronous data transactions on so c using fifo
Iaetsd asynchronous data transactions on so c using fifoIaetsd asynchronous data transactions on so c using fifo
Iaetsd asynchronous data transactions on so c using fifo
Iaetsd Iaetsd
 
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
ijsrd.com
 
Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0
ijsrd.com
 
Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
Design and Analysis of Xilinx Verified AMBA Bridge for SoC SystemsDesign and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
idescitation
 
ambaaxi protocol basic information presentaion
ambaaxi protocol basic information presentaionambaaxi protocol basic information presentaion
ambaaxi protocol basic information presentaion
SandipSolanki10
 
Transaction based AMBA AXI bus interconnect in Verilog
Transaction based AMBA AXI bus interconnect in VerilogTransaction based AMBA AXI bus interconnect in Verilog
Transaction based AMBA AXI bus interconnect in Verilog
IRJET Journal
 
Design and verification environment for amba axi protocol for soc integration
Design and verification environment for amba axi protocol for soc integrationDesign and verification environment for amba axi protocol for soc integration
Design and verification environment for amba axi protocol for soc integration
eSAT Publishing House
 
AXI_presentation.ppt
AXI_presentation.pptAXI_presentation.ppt
AXI_presentation.ppt
ssuser1c8ca21
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSICS Design
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSICS Design
 
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSICS Design
 
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VLSICS Design
 
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VLSICS Design
 
Design and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeDesign and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeManu BN
 
Micro channel architecture
Micro channel architectureMicro channel architecture
Micro channel architecture
Gichelle Amon
 

Similar to Axi (20)

Ey3110051010
Ey3110051010Ey3110051010
Ey3110051010
 
Iaetsd asynchronous data transactions on so c using fifo
Iaetsd asynchronous data transactions on so c using fifoIaetsd asynchronous data transactions on so c using fifo
Iaetsd asynchronous data transactions on so c using fifo
 
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
 
Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0
 
Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
Design and Analysis of Xilinx Verified AMBA Bridge for SoC SystemsDesign and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
 
call for papers, research paper publishing, where to publish research paper, ...
call for papers, research paper publishing, where to publish research paper, ...call for papers, research paper publishing, where to publish research paper, ...
call for papers, research paper publishing, where to publish research paper, ...
 
Amba bus
Amba busAmba bus
Amba bus
 
40120130406005
4012013040600540120130406005
40120130406005
 
ambaaxi protocol basic information presentaion
ambaaxi protocol basic information presentaionambaaxi protocol basic information presentaion
ambaaxi protocol basic information presentaion
 
Transaction based AMBA AXI bus interconnect in Verilog
Transaction based AMBA AXI bus interconnect in VerilogTransaction based AMBA AXI bus interconnect in Verilog
Transaction based AMBA AXI bus interconnect in Verilog
 
Design and verification environment for amba axi protocol for soc integration
Design and verification environment for amba axi protocol for soc integrationDesign and verification environment for amba axi protocol for soc integration
Design and verification environment for amba axi protocol for soc integration
 
AXI_presentation.ppt
AXI_presentation.pptAXI_presentation.ppt
AXI_presentation.ppt
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
 
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
 
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
 
Design and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeDesign and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridge
 
Gc2411021106
Gc2411021106Gc2411021106
Gc2411021106
 
Micro channel architecture
Micro channel architectureMicro channel architecture
Micro channel architecture
 

Recently uploaded

Book Formatting: Quality Control Checks for Designers
Book Formatting: Quality Control Checks for DesignersBook Formatting: Quality Control Checks for Designers
Book Formatting: Quality Control Checks for Designers
Confidence Ago
 
一比一原版(UCB毕业证书)伯明翰大学学院毕业证成绩单如何办理
一比一原版(UCB毕业证书)伯明翰大学学院毕业证成绩单如何办理一比一原版(UCB毕业证书)伯明翰大学学院毕业证成绩单如何办理
一比一原版(UCB毕业证书)伯明翰大学学院毕业证成绩单如何办理
h7j5io0
 
Top 5 Indian Style Modular Kitchen Designs
Top 5 Indian Style Modular Kitchen DesignsTop 5 Indian Style Modular Kitchen Designs
Top 5 Indian Style Modular Kitchen Designs
Finzo Kitchens
 
Коричневый и Кремовый Деликатный Органический Копирайтер Фрилансер Марке...
Коричневый и Кремовый Деликатный Органический Копирайтер Фрилансер Марке...Коричневый и Кремовый Деликатный Органический Копирайтер Фрилансер Марке...
Коричневый и Кремовый Деликатный Органический Копирайтер Фрилансер Марке...
ameli25062005
 
RTUYUIJKLDSADAGHBDJNKSMAL,D
RTUYUIJKLDSADAGHBDJNKSMAL,DRTUYUIJKLDSADAGHBDJNKSMAL,D
RTUYUIJKLDSADAGHBDJNKSMAL,D
cy0krjxt
 
Design Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinkingDesign Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinking
cy0krjxt
 
Can AI do good? at 'offtheCanvas' India HCI prelude
Can AI do good? at 'offtheCanvas' India HCI preludeCan AI do good? at 'offtheCanvas' India HCI prelude
Can AI do good? at 'offtheCanvas' India HCI prelude
Alan Dix
 
一比一原版(Bristol毕业证书)布里斯托大学毕业证成绩单如何办理
一比一原版(Bristol毕业证书)布里斯托大学毕业证成绩单如何办理一比一原版(Bristol毕业证书)布里斯托大学毕业证成绩单如何办理
一比一原版(Bristol毕业证书)布里斯托大学毕业证成绩单如何办理
smpc3nvg
 
Design Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinkingDesign Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinking
cy0krjxt
 
一比一原版(UAL毕业证书)伦敦艺术大学毕业证成绩单如何办理
一比一原版(UAL毕业证书)伦敦艺术大学毕业证成绩单如何办理一比一原版(UAL毕业证书)伦敦艺术大学毕业证成绩单如何办理
一比一原版(UAL毕业证书)伦敦艺术大学毕业证成绩单如何办理
708pb191
 
White wonder, Work developed by Eva Tschopp
White wonder, Work developed by Eva TschoppWhite wonder, Work developed by Eva Tschopp
White wonder, Work developed by Eva Tschopp
Mansi Shah
 
一比一原版(毕业证)长崎大学毕业证成绩单如何办理
一比一原版(毕业证)长崎大学毕业证成绩单如何办理一比一原版(毕业证)长崎大学毕业证成绩单如何办理
一比一原版(毕业证)长崎大学毕业证成绩单如何办理
taqyed
 
一比一原版(Brunel毕业证书)布鲁内尔大学毕业证成绩单如何办理
一比一原版(Brunel毕业证书)布鲁内尔大学毕业证成绩单如何办理一比一原版(Brunel毕业证书)布鲁内尔大学毕业证成绩单如何办理
一比一原版(Brunel毕业证书)布鲁内尔大学毕业证成绩单如何办理
smpc3nvg
 
Design Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinkingDesign Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinking
cy0krjxt
 
一比一原版(NCL毕业证书)纽卡斯尔大学毕业证成绩单如何办理
一比一原版(NCL毕业证书)纽卡斯尔大学毕业证成绩单如何办理一比一原版(NCL毕业证书)纽卡斯尔大学毕业证成绩单如何办理
一比一原版(NCL毕业证书)纽卡斯尔大学毕业证成绩单如何办理
7sd8fier
 
Research 20 slides Amelia gavryliuks.pdf
Research 20 slides Amelia gavryliuks.pdfResearch 20 slides Amelia gavryliuks.pdf
Research 20 slides Amelia gavryliuks.pdf
ameli25062005
 
PORTFOLIO FABIANA VILLANI ARCHITECTURE.pdf
PORTFOLIO FABIANA VILLANI ARCHITECTURE.pdfPORTFOLIO FABIANA VILLANI ARCHITECTURE.pdf
PORTFOLIO FABIANA VILLANI ARCHITECTURE.pdf
fabianavillanib
 
Transforming Brand Perception and Boosting Profitability
Transforming Brand Perception and Boosting ProfitabilityTransforming Brand Perception and Boosting Profitability
Transforming Brand Perception and Boosting Profitability
aaryangarg12
 
一比一原版(MMU毕业证书)曼彻斯特城市大学毕业证成绩单如何办理
一比一原版(MMU毕业证书)曼彻斯特城市大学毕业证成绩单如何办理一比一原版(MMU毕业证书)曼彻斯特城市大学毕业证成绩单如何办理
一比一原版(MMU毕业证书)曼彻斯特城市大学毕业证成绩单如何办理
7sd8fier
 
一比一原版(Glasgow毕业证书)格拉斯哥大学毕业证成绩单如何办理
一比一原版(Glasgow毕业证书)格拉斯哥大学毕业证成绩单如何办理一比一原版(Glasgow毕业证书)格拉斯哥大学毕业证成绩单如何办理
一比一原版(Glasgow毕业证书)格拉斯哥大学毕业证成绩单如何办理
n0tivyq
 

Recently uploaded (20)

Book Formatting: Quality Control Checks for Designers
Book Formatting: Quality Control Checks for DesignersBook Formatting: Quality Control Checks for Designers
Book Formatting: Quality Control Checks for Designers
 
一比一原版(UCB毕业证书)伯明翰大学学院毕业证成绩单如何办理
一比一原版(UCB毕业证书)伯明翰大学学院毕业证成绩单如何办理一比一原版(UCB毕业证书)伯明翰大学学院毕业证成绩单如何办理
一比一原版(UCB毕业证书)伯明翰大学学院毕业证成绩单如何办理
 
Top 5 Indian Style Modular Kitchen Designs
Top 5 Indian Style Modular Kitchen DesignsTop 5 Indian Style Modular Kitchen Designs
Top 5 Indian Style Modular Kitchen Designs
 
Коричневый и Кремовый Деликатный Органический Копирайтер Фрилансер Марке...
Коричневый и Кремовый Деликатный Органический Копирайтер Фрилансер Марке...Коричневый и Кремовый Деликатный Органический Копирайтер Фрилансер Марке...
Коричневый и Кремовый Деликатный Органический Копирайтер Фрилансер Марке...
 
RTUYUIJKLDSADAGHBDJNKSMAL,D
RTUYUIJKLDSADAGHBDJNKSMAL,DRTUYUIJKLDSADAGHBDJNKSMAL,D
RTUYUIJKLDSADAGHBDJNKSMAL,D
 
Design Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinkingDesign Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinking
 
Can AI do good? at 'offtheCanvas' India HCI prelude
Can AI do good? at 'offtheCanvas' India HCI preludeCan AI do good? at 'offtheCanvas' India HCI prelude
Can AI do good? at 'offtheCanvas' India HCI prelude
 
一比一原版(Bristol毕业证书)布里斯托大学毕业证成绩单如何办理
一比一原版(Bristol毕业证书)布里斯托大学毕业证成绩单如何办理一比一原版(Bristol毕业证书)布里斯托大学毕业证成绩单如何办理
一比一原版(Bristol毕业证书)布里斯托大学毕业证成绩单如何办理
 
Design Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinkingDesign Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinking
 
一比一原版(UAL毕业证书)伦敦艺术大学毕业证成绩单如何办理
一比一原版(UAL毕业证书)伦敦艺术大学毕业证成绩单如何办理一比一原版(UAL毕业证书)伦敦艺术大学毕业证成绩单如何办理
一比一原版(UAL毕业证书)伦敦艺术大学毕业证成绩单如何办理
 
White wonder, Work developed by Eva Tschopp
White wonder, Work developed by Eva TschoppWhite wonder, Work developed by Eva Tschopp
White wonder, Work developed by Eva Tschopp
 
一比一原版(毕业证)长崎大学毕业证成绩单如何办理
一比一原版(毕业证)长崎大学毕业证成绩单如何办理一比一原版(毕业证)长崎大学毕业证成绩单如何办理
一比一原版(毕业证)长崎大学毕业证成绩单如何办理
 
一比一原版(Brunel毕业证书)布鲁内尔大学毕业证成绩单如何办理
一比一原版(Brunel毕业证书)布鲁内尔大学毕业证成绩单如何办理一比一原版(Brunel毕业证书)布鲁内尔大学毕业证成绩单如何办理
一比一原版(Brunel毕业证书)布鲁内尔大学毕业证成绩单如何办理
 
Design Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinkingDesign Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinking
 
一比一原版(NCL毕业证书)纽卡斯尔大学毕业证成绩单如何办理
一比一原版(NCL毕业证书)纽卡斯尔大学毕业证成绩单如何办理一比一原版(NCL毕业证书)纽卡斯尔大学毕业证成绩单如何办理
一比一原版(NCL毕业证书)纽卡斯尔大学毕业证成绩单如何办理
 
Research 20 slides Amelia gavryliuks.pdf
Research 20 slides Amelia gavryliuks.pdfResearch 20 slides Amelia gavryliuks.pdf
Research 20 slides Amelia gavryliuks.pdf
 
PORTFOLIO FABIANA VILLANI ARCHITECTURE.pdf
PORTFOLIO FABIANA VILLANI ARCHITECTURE.pdfPORTFOLIO FABIANA VILLANI ARCHITECTURE.pdf
PORTFOLIO FABIANA VILLANI ARCHITECTURE.pdf
 
Transforming Brand Perception and Boosting Profitability
Transforming Brand Perception and Boosting ProfitabilityTransforming Brand Perception and Boosting Profitability
Transforming Brand Perception and Boosting Profitability
 
一比一原版(MMU毕业证书)曼彻斯特城市大学毕业证成绩单如何办理
一比一原版(MMU毕业证书)曼彻斯特城市大学毕业证成绩单如何办理一比一原版(MMU毕业证书)曼彻斯特城市大学毕业证成绩单如何办理
一比一原版(MMU毕业证书)曼彻斯特城市大学毕业证成绩单如何办理
 
一比一原版(Glasgow毕业证书)格拉斯哥大学毕业证成绩单如何办理
一比一原版(Glasgow毕业证书)格拉斯哥大学毕业证成绩单如何办理一比一原版(Glasgow毕业证书)格拉斯哥大学毕业证成绩单如何办理
一比一原版(Glasgow毕业证书)格拉斯哥大学毕业证成绩单如何办理
 

Axi

  • 2. Advanced extensible Interface (AXI) AXI, the third generation of AMBA interface AMBA 3 specification, is targeted at high performance, high clock frequency system designs and suitable for high speed sub-micrometer interconnect:  separate address/control and data phases  support for unaligned data transfers using byte strobes  burst based transactions with only start address issued & issuing of multiple outstanding addresses  easy addition of register stages to provide timing closure.
  • 3. AXI features  AMBA AXI 3.0/4.0 Verification IP provides an smart way to verify the AMBA AXI 3.0/4.0 component of a SOC or a ASIC.  AMBA AXI 3.0/4.0 VIP is supported natively in SystemVerilog, VMM, RVM, AVM, OVM, UVM, Verilog, SystemC, VERA, Specman E and non-standard verification environment.  Burst types The AXI protocol supports three different burst types that are suitable for: • normal memory accesses • wrapping cache line bursts • streaming data to peripheral FIFO locations.
  • 4. AXI features (cont..) ● System cache support The cache-support signal of the AXI protocol enables a master to provide to a system-level cache the bufferable, cacheable, and allocate attributes of a transaction. ● Protection unit support To enable both privileged and secure accesses, the AXI protocol provides three levels of protection unit support. ● Atomic operations The AXI protocol defines mechanisms for both exclusive and locked accesses.
  • 5. AXI features (cont..) ● Error support The AXI protocol provides error support for both address decode errors and slave-generated errors. ● Unaligned address To enhance the performance of the initial accesses within a burst, the AXI protocol supports unaligned burst start addresses
  • 6. How AXI work? ● AXI consist of five different channels: • Write Address Channel • Write Data Channel • Write Response Channel • Read Address Channel • Read Data Channel
  • 7. AXI bus - model
  • 8. AXI -WRITE operation archietecture Master Interface Slave interface
  • 9. AXI -READ operation archietecture Master Interface Slave interface
  • 10. Transaction channel handshake pairs Transaction channel Handshake pair ● Write address channel AWVALID, AWREADY ● Write data channel WVALID, WREADY ● Write response channel BVALID, BREADY ● Read address channel ARVALID, ARREADY ● Read data channel RVALID, RREADY
  • 12. Read burst example ● In this example, the master drives the address, and the slave accepts it one cycle later. ● The master also drives a set of control signals showing the length and type of the burst,but these signals are omitted from the figure for clarity. ● After the address appears on the address bus, the data transfer occurs on the read data channel. The slave keeps the VA L I D signal LOW until the read data is available. For the final data transfer of the burst, the slave asserts the RLAST signal to show that the last data item is being transferred.
  • 13. Overlapping read burst example Figure shows how a master can drive another burst address after the slave accepts the first address. This enables a slave to begin processing data for the second burst in parallel with the completion of the first burst.
  • 15. Write burst ● Figure shows a write transaction. The process starts when the master sends an address and control information on the write address channel. The master then sends each item of write data over the write data channel. When the master sends the last data item, the WLAST signal goes HIGH. When the slave has accepted all the data items, it drives a write response back to the master to indicate that the write transaction is complete
  • 16. Comparing AMBA AHB to AXI Bus- System Modeling ● AMBA AHB ● single-channel, shared bus.  A 128 bit bus running at 400 MHz.  The AHB bus speed was assumed to be double the AXI Bus, and two times the width. ● AMBA AXI ● Multi-channel, read/write optimized bus.  A 64 bit bus running at 200 Mhz  The primary throughput channels- R/W data channels, while the address, response channels are to improve pipelining of multiple requests.
  • 17. The simulation study between AMBA AHB and AMBA AXI-Results The AHB Bus performed best for the given traffic rates and sizes. The AXI Bus was rated higher for throughput. Bus Type Latency Throughput Power AHB Bus Excellent Good Excellent AXI Bus Good Excellent Good
  • 18. Throughput ● Throughput or network throughput is the average rate of successful message delivery over a communication channel. ● It is closely related to the channel capacity of the system, and is the maximum possible quantity of data that can be transmitted under ideal circumstances. ● The throughput is usually measured in bits per second (bit/s or bps), data packets per second or data packets per time slot.
  • 19. AXI benefits  Faster testbench development and more complete verification of AMBA AXI 3.0/4.0 designs.  Easy to use command interface simplifies testbench control and configuration of master and slave.  Simplifies results analysis.  Runs in every major simulation environment.
  • 20. Drawback of AXI ● The AMBA AXI4 has limitations with respect to the burst data and beats of information to be transferred. ● The burst must not cross the 4k boundary. Bursts longer than 16 beats are only supported for the INCR burst type. ● Both WRAP and FIXED burst types remain constrained to a maximum burst length of 16 beats. These are the drawbacks of AMBA AXI system which need to be overcome. T
  • 21. Summary of AXI ● Productivity—By standardizing on the AXI interface, developers need to learn only single protocol for IP. ● Flexibility- AXI4 memory mapped interfaces and allows burst of up to 256 data transfer cycles with just a single address phase. ● AXI4-Stream removes the requirement for an address phase altogether and allows unlimited data burst size.
  • 22. Summary of AXI cont... ● Availability—By moving to an industry-standard, access to a worldwide community of ARM Partners. ● Many IP providers support the AXI protocol. ● A robust collection of third-party AXI tool vendors is available that provide a variety of verification, system development, and performance characterization tools.