SlideShare a Scribd company logo
Comprehensive Low Power Design Analysis and Optimization An RTL to GDSII Approach Aveek Sarkar and Ronen Stilkol Apache Design Solutions
Power: The Metric For Chip Success ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Power dissipated = voltage X current Current Drawn
Trends in Current Draw and Power Dissipation ,[object Object],[object Object],[object Object],[object Object]
Current and Voltage as  Design Targets ,[object Object],[object Object],1 1 Predict power accurately “early” 2 Predict power reduction possible 2 3 Identify achievable design changes 3 Power Number of RTL edits
Power in the RTL  GDS II  Flow Target power reduction early in the design flow Ensure design verification to predict voltage drop noise from low power techniques Power reduction  Usage Curve Power Integrity  Usage Curve Physical Implementation & Signoff RTL Design  & reduction Floor-planning & Synthesis Chip-Package-System Convergence
Techniques for Power Reduction An Analysis Driven Approach ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Operational Power Reduction   Clock Tree Optimization RTL: Add and Improve Clock Enables  Non-enabled Enabled sel sel_1d 1 R2 D RTL reductions make clock gating more effective EN CLK CG GCLK D EN CLK D Synthesis: Clock Gating RTL Gates
Operational Power Reduction   Datapath Optimization
Standby Power Reduction   Power Gating ,[object Object],[object Object],Power Gating Options Header Switches Footer Switches Block Vdd Vss CTL Block Vss Vdd CTL Dual Switches cntl1 cntl2 Ext VSS Int VSS Ext VDD Int VDD
[object Object],[object Object],Power gating Clock gating Clock mode transitions generate transient event causing Ldi/dt noise  Impact of Low Power Design  Techniques on Power Integrity Constant activity Mode Clock gating mode
Impact of Design and Process  Changes on Silicon Integrity
Low Power Design Verification Challenges ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Change in ESC/ESR for a decap cell Normalized against 130nm
Power Integrity Analysis for  Low Power Designs ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Case Studies Bump Placement and Package Issues Highlighted ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],L di / dt ~ high DvD High drop area
Chip Package System Convergence An RTL to GDSII Focus 06/22/10 ,  RTL to GDS CPS Convergence RTL Design  & reduction RTL Power Reduction RTL Power Analysis Floor-planning & Synthesis PG, IO Planning Early CPM Package/PCB planning Physical Implementation & Signoff IP Validation SoC Analysis Timing Impact CPS sign-off + cost down
[object Object],[object Object],[object Object],[object Object],[object Object],Selected References

More Related Content

Viewers also liked

Track B- Advanced ESL verification - Mentor
Track B- Advanced ESL verification - MentorTrack B- Advanced ESL verification - Mentor
Track B- Advanced ESL verification - Mentor
chiportal
 
Integrated Mine Safety Monitoring and Alerting System Using Zigbee & Can Bus
Integrated Mine Safety Monitoring and Alerting System Using Zigbee & Can BusIntegrated Mine Safety Monitoring and Alerting System Using Zigbee & Can Bus
Integrated Mine Safety Monitoring and Alerting System Using Zigbee & Can Bus
IOSR Journals
 
Arduino as an embedded industrial controller
Arduino as an embedded industrial controllerArduino as an embedded industrial controller
Arduino as an embedded industrial controller
Jose Luis Poza Luján
 
sms based speed change of motor or fan
sms based speed change of motor or fansms based speed change of motor or fan
sms based speed change of motor or fan
Rahul Gupta
 
MMP's and the Role of Zinc in Wound Healing
MMP's and the Role of Zinc in Wound HealingMMP's and the Role of Zinc in Wound Healing
MMP's and the Role of Zinc in Wound Healing
mvkaminski
 
Seyer June06 Analyst Day
Seyer June06 Analyst DaySeyer June06 Analyst Day
Seyer June06 Analyst Day
lalowder
 
Automatic Real Time Auditorium Power Supply Control using Image Processing
Automatic Real Time Auditorium Power Supply Control using Image ProcessingAutomatic Real Time Auditorium Power Supply Control using Image Processing
Automatic Real Time Auditorium Power Supply Control using Image Processing
idescitation
 
Advanced Malware Analysis Training Session 4 - Anti-Analysis Techniques
Advanced Malware Analysis Training Session 4 - Anti-Analysis TechniquesAdvanced Malware Analysis Training Session 4 - Anti-Analysis Techniques
Advanced Malware Analysis Training Session 4 - Anti-Analysis Techniques
securityxploded
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
Bhagwan Lal Teli
 
Seminar presentation on embedded web technology
Seminar presentation on embedded web technologySeminar presentation on embedded web technology
Seminar presentation on embedded web technology
Ranol R C
 
EMBEDDED WEB TECHNOLOGY
EMBEDDED WEB TECHNOLOGYEMBEDDED WEB TECHNOLOGY
EMBEDDED WEB TECHNOLOGY
Vinay Kumar
 
Ppt on automation
Ppt on automation Ppt on automation
Ppt on automation
harshaa
 
How to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderHow to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinder
Ansys
 
PowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power PlatformPowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power Platform
Ansys
 

Viewers also liked (14)

Track B- Advanced ESL verification - Mentor
Track B- Advanced ESL verification - MentorTrack B- Advanced ESL verification - Mentor
Track B- Advanced ESL verification - Mentor
 
Integrated Mine Safety Monitoring and Alerting System Using Zigbee & Can Bus
Integrated Mine Safety Monitoring and Alerting System Using Zigbee & Can BusIntegrated Mine Safety Monitoring and Alerting System Using Zigbee & Can Bus
Integrated Mine Safety Monitoring and Alerting System Using Zigbee & Can Bus
 
Arduino as an embedded industrial controller
Arduino as an embedded industrial controllerArduino as an embedded industrial controller
Arduino as an embedded industrial controller
 
sms based speed change of motor or fan
sms based speed change of motor or fansms based speed change of motor or fan
sms based speed change of motor or fan
 
MMP's and the Role of Zinc in Wound Healing
MMP's and the Role of Zinc in Wound HealingMMP's and the Role of Zinc in Wound Healing
MMP's and the Role of Zinc in Wound Healing
 
Seyer June06 Analyst Day
Seyer June06 Analyst DaySeyer June06 Analyst Day
Seyer June06 Analyst Day
 
Automatic Real Time Auditorium Power Supply Control using Image Processing
Automatic Real Time Auditorium Power Supply Control using Image ProcessingAutomatic Real Time Auditorium Power Supply Control using Image Processing
Automatic Real Time Auditorium Power Supply Control using Image Processing
 
Advanced Malware Analysis Training Session 4 - Anti-Analysis Techniques
Advanced Malware Analysis Training Session 4 - Anti-Analysis TechniquesAdvanced Malware Analysis Training Session 4 - Anti-Analysis Techniques
Advanced Malware Analysis Training Session 4 - Anti-Analysis Techniques
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
Seminar presentation on embedded web technology
Seminar presentation on embedded web technologySeminar presentation on embedded web technology
Seminar presentation on embedded web technology
 
EMBEDDED WEB TECHNOLOGY
EMBEDDED WEB TECHNOLOGYEMBEDDED WEB TECHNOLOGY
EMBEDDED WEB TECHNOLOGY
 
Ppt on automation
Ppt on automation Ppt on automation
Ppt on automation
 
How to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderHow to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinder
 
PowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power PlatformPowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power Platform
 

Similar to Apache track d updated

LPflow_updated.ppt
LPflow_updated.pptLPflow_updated.ppt
LPflow_updated.ppt
ssuser36861c
 
3-Anandi.ppt
3-Anandi.ppt3-Anandi.ppt
3-Anandi.ppt
ECEHoD16
 
LPVLSI.ppt
LPVLSI.pptLPVLSI.ppt
LPVLSI.ppt
8885684828
 
Low power methods.ppt
Low power methods.pptLow power methods.ppt
Low power methods.ppt
KishoreKumarREnginee
 
Anandi.ppt
Anandi.pptAnandi.ppt
Anandi.ppt
Godwinraj D
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
SUNODH GARLAPATI
 
8891.ppt
8891.ppt8891.ppt
Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
Sri Manakula Vinayagar Engineering College
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
DVClub
 
A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...
ijsrd.com
 
5378086.ppt
5378086.ppt5378086.ppt
5378086.ppt
kavita417551
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
Rajesh_navandar
 
Trends and challenges in vlsi
Trends and challenges in vlsiTrends and challenges in vlsi
Trends and challenges in vlsi
labishettybhanu
 
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iAHC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
Saurabh Dighe
 
lowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdflowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdf
ManiBharathNuti1
 
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
Nathan Mathis
 
VLSI Power in a Nutshell
VLSI Power in a NutshellVLSI Power in a Nutshell
VLSI Power in a Nutshell
Mahesh Dananjaya
 
Low Power VLSI Designs
Low Power VLSI DesignsLow Power VLSI Designs
Low Power VLSI Designs
Mahesh Dananjaya
 
Low power electronic design
Low power electronic designLow power electronic design
Low power electronic design
Mahesh Dananjaya
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
Mahesh Dananjaya
 

Similar to Apache track d updated (20)

LPflow_updated.ppt
LPflow_updated.pptLPflow_updated.ppt
LPflow_updated.ppt
 
3-Anandi.ppt
3-Anandi.ppt3-Anandi.ppt
3-Anandi.ppt
 
LPVLSI.ppt
LPVLSI.pptLPVLSI.ppt
LPVLSI.ppt
 
Low power methods.ppt
Low power methods.pptLow power methods.ppt
Low power methods.ppt
 
Anandi.ppt
Anandi.pptAnandi.ppt
Anandi.ppt
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
 
8891.ppt
8891.ppt8891.ppt
8891.ppt
 
Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
 
A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...
 
5378086.ppt
5378086.ppt5378086.ppt
5378086.ppt
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
 
Trends and challenges in vlsi
Trends and challenges in vlsiTrends and challenges in vlsi
Trends and challenges in vlsi
 
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iAHC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
 
lowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdflowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdf
 
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
 
VLSI Power in a Nutshell
VLSI Power in a NutshellVLSI Power in a Nutshell
VLSI Power in a Nutshell
 
Low Power VLSI Designs
Low Power VLSI DesignsLow Power VLSI Designs
Low Power VLSI Designs
 
Low power electronic design
Low power electronic designLow power electronic design
Low power electronic design
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 

More from Alona Gradman

Bary pangrle mentor track d
Bary pangrle   mentor track dBary pangrle   mentor track d
Bary pangrle mentor track d
Alona Gradman
 
C:\fakepath\apache track d updated
C:\fakepath\apache   track d updatedC:\fakepath\apache   track d updated
C:\fakepath\apache track d updated
Alona Gradman
 
National instruments track e
National instruments   track eNational instruments   track e
National instruments track e
Alona Gradman
 
Stephan berg track f
Stephan berg   track fStephan berg   track f
Stephan berg track f
Alona Gradman
 
Mullbery& veriest track g
Mullbery& veriest  track gMullbery& veriest  track g
Mullbery& veriest track g
Alona Gradman
 
Xilinx track g
Xilinx   track gXilinx   track g
Xilinx track g
Alona Gradman
 
Altera trcak g
Altera  trcak gAltera  trcak g
Altera trcak g
Alona Gradman
 
Arm updated track h
Arm updated  track hArm updated  track h
Arm updated track h
Alona Gradman
 
Evatronix track h
Evatronix   track hEvatronix   track h
Evatronix track h
Alona Gradman
 
Target updated track f
Target updated   track fTarget updated   track f
Target updated track f
Alona Gradman
 
Vsync track c
Vsync   track cVsync   track c
Vsync track c
Alona Gradman
 
C:\fakepath\micrologic track c
C:\fakepath\micrologic   track cC:\fakepath\micrologic   track c
C:\fakepath\micrologic track c
Alona Gradman
 
Synopsys track c
Synopsys track cSynopsys track c
Synopsys track c
Alona Gradman
 
Intel track a
Intel   track aIntel   track a
Intel track a
Alona Gradman
 
Mips track a
Mips   track aMips   track a
Mips track a
Alona Gradman
 
E silicon track b
E silicon  track bE silicon  track b
E silicon track b
Alona Gradman
 
Magma trcak b
Magma  trcak bMagma  trcak b
Magma trcak b
Alona Gradman
 
Timing¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
Timing¬Driven Variation¬Aware NonuniformClock Mesh SynthesisTiming¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
Timing¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
Alona Gradman
 
Chip Ex2010 Gert Goossens
Chip Ex2010 Gert GoossensChip Ex2010 Gert Goossens
Chip Ex2010 Gert Goossens
Alona Gradman
 

More from Alona Gradman (19)

Bary pangrle mentor track d
Bary pangrle   mentor track dBary pangrle   mentor track d
Bary pangrle mentor track d
 
C:\fakepath\apache track d updated
C:\fakepath\apache   track d updatedC:\fakepath\apache   track d updated
C:\fakepath\apache track d updated
 
National instruments track e
National instruments   track eNational instruments   track e
National instruments track e
 
Stephan berg track f
Stephan berg   track fStephan berg   track f
Stephan berg track f
 
Mullbery& veriest track g
Mullbery& veriest  track gMullbery& veriest  track g
Mullbery& veriest track g
 
Xilinx track g
Xilinx   track gXilinx   track g
Xilinx track g
 
Altera trcak g
Altera  trcak gAltera  trcak g
Altera trcak g
 
Arm updated track h
Arm updated  track hArm updated  track h
Arm updated track h
 
Evatronix track h
Evatronix   track hEvatronix   track h
Evatronix track h
 
Target updated track f
Target updated   track fTarget updated   track f
Target updated track f
 
Vsync track c
Vsync   track cVsync   track c
Vsync track c
 
C:\fakepath\micrologic track c
C:\fakepath\micrologic   track cC:\fakepath\micrologic   track c
C:\fakepath\micrologic track c
 
Synopsys track c
Synopsys track cSynopsys track c
Synopsys track c
 
Intel track a
Intel   track aIntel   track a
Intel track a
 
Mips track a
Mips   track aMips   track a
Mips track a
 
E silicon track b
E silicon  track bE silicon  track b
E silicon track b
 
Magma trcak b
Magma  trcak bMagma  trcak b
Magma trcak b
 
Timing¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
Timing¬Driven Variation¬Aware NonuniformClock Mesh SynthesisTiming¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
Timing¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
 
Chip Ex2010 Gert Goossens
Chip Ex2010 Gert GoossensChip Ex2010 Gert Goossens
Chip Ex2010 Gert Goossens
 

Recently uploaded

Executive Directors Chat Leveraging AI for Diversity, Equity, and Inclusion
Executive Directors Chat  Leveraging AI for Diversity, Equity, and InclusionExecutive Directors Chat  Leveraging AI for Diversity, Equity, and Inclusion
Executive Directors Chat Leveraging AI for Diversity, Equity, and Inclusion
TechSoup
 
DRUGS AND ITS classification slide share
DRUGS AND ITS classification slide shareDRUGS AND ITS classification slide share
DRUGS AND ITS classification slide share
taiba qazi
 
Advanced Java[Extra Concepts, Not Difficult].docx
Advanced Java[Extra Concepts, Not Difficult].docxAdvanced Java[Extra Concepts, Not Difficult].docx
Advanced Java[Extra Concepts, Not Difficult].docx
adhitya5119
 
The basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptxThe basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptx
heathfieldcps1
 
Types of Herbal Cosmetics its standardization.
Types of Herbal Cosmetics its standardization.Types of Herbal Cosmetics its standardization.
Types of Herbal Cosmetics its standardization.
Ashokrao Mane college of Pharmacy Peth-Vadgaon
 
PCOS corelations and management through Ayurveda.
PCOS corelations and management through Ayurveda.PCOS corelations and management through Ayurveda.
PCOS corelations and management through Ayurveda.
Dr. Shivangi Singh Parihar
 
The simplified electron and muon model, Oscillating Spacetime: The Foundation...
The simplified electron and muon model, Oscillating Spacetime: The Foundation...The simplified electron and muon model, Oscillating Spacetime: The Foundation...
The simplified electron and muon model, Oscillating Spacetime: The Foundation...
RitikBhardwaj56
 
The Diamonds of 2023-2024 in the IGRA collection
The Diamonds of 2023-2024 in the IGRA collectionThe Diamonds of 2023-2024 in the IGRA collection
The Diamonds of 2023-2024 in the IGRA collection
Israel Genealogy Research Association
 
Pollock and Snow "DEIA in the Scholarly Landscape, Session One: Setting Expec...
Pollock and Snow "DEIA in the Scholarly Landscape, Session One: Setting Expec...Pollock and Snow "DEIA in the Scholarly Landscape, Session One: Setting Expec...
Pollock and Snow "DEIA in the Scholarly Landscape, Session One: Setting Expec...
National Information Standards Organization (NISO)
 
Natural birth techniques - Mrs.Akanksha Trivedi Rama University
Natural birth techniques - Mrs.Akanksha Trivedi Rama UniversityNatural birth techniques - Mrs.Akanksha Trivedi Rama University
Natural birth techniques - Mrs.Akanksha Trivedi Rama University
Akanksha trivedi rama nursing college kanpur.
 
Liberal Approach to the Study of Indian Politics.pdf
Liberal Approach to the Study of Indian Politics.pdfLiberal Approach to the Study of Indian Politics.pdf
Liberal Approach to the Study of Indian Politics.pdf
WaniBasim
 
ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...
ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...
ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...
PECB
 
A Independência da América Espanhola LAPBOOK.pdf
A Independência da América Espanhola LAPBOOK.pdfA Independência da América Espanhola LAPBOOK.pdf
A Independência da América Espanhola LAPBOOK.pdf
Jean Carlos Nunes Paixão
 
PIMS Job Advertisement 2024.pdf Islamabad
PIMS Job Advertisement 2024.pdf IslamabadPIMS Job Advertisement 2024.pdf Islamabad
PIMS Job Advertisement 2024.pdf Islamabad
AyyanKhan40
 
Azure Interview Questions and Answers PDF By ScholarHat
Azure Interview Questions and Answers PDF By ScholarHatAzure Interview Questions and Answers PDF By ScholarHat
Azure Interview Questions and Answers PDF By ScholarHat
Scholarhat
 
Smart-Money for SMC traders good time and ICT
Smart-Money for SMC traders good time and ICTSmart-Money for SMC traders good time and ICT
Smart-Money for SMC traders good time and ICT
simonomuemu
 
Assessment and Planning in Educational technology.pptx
Assessment and Planning in Educational technology.pptxAssessment and Planning in Educational technology.pptx
Assessment and Planning in Educational technology.pptx
Kavitha Krishnan
 
Life upper-Intermediate B2 Workbook for student
Life upper-Intermediate B2 Workbook for studentLife upper-Intermediate B2 Workbook for student
Life upper-Intermediate B2 Workbook for student
NgcHiNguyn25
 
Your Skill Boost Masterclass: Strategies for Effective Upskilling
Your Skill Boost Masterclass: Strategies for Effective UpskillingYour Skill Boost Masterclass: Strategies for Effective Upskilling
Your Skill Boost Masterclass: Strategies for Effective Upskilling
Excellence Foundation for South Sudan
 
writing about opinions about Australia the movie
writing about opinions about Australia the moviewriting about opinions about Australia the movie
writing about opinions about Australia the movie
Nicholas Montgomery
 

Recently uploaded (20)

Executive Directors Chat Leveraging AI for Diversity, Equity, and Inclusion
Executive Directors Chat  Leveraging AI for Diversity, Equity, and InclusionExecutive Directors Chat  Leveraging AI for Diversity, Equity, and Inclusion
Executive Directors Chat Leveraging AI for Diversity, Equity, and Inclusion
 
DRUGS AND ITS classification slide share
DRUGS AND ITS classification slide shareDRUGS AND ITS classification slide share
DRUGS AND ITS classification slide share
 
Advanced Java[Extra Concepts, Not Difficult].docx
Advanced Java[Extra Concepts, Not Difficult].docxAdvanced Java[Extra Concepts, Not Difficult].docx
Advanced Java[Extra Concepts, Not Difficult].docx
 
The basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptxThe basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptx
 
Types of Herbal Cosmetics its standardization.
Types of Herbal Cosmetics its standardization.Types of Herbal Cosmetics its standardization.
Types of Herbal Cosmetics its standardization.
 
PCOS corelations and management through Ayurveda.
PCOS corelations and management through Ayurveda.PCOS corelations and management through Ayurveda.
PCOS corelations and management through Ayurveda.
 
The simplified electron and muon model, Oscillating Spacetime: The Foundation...
The simplified electron and muon model, Oscillating Spacetime: The Foundation...The simplified electron and muon model, Oscillating Spacetime: The Foundation...
The simplified electron and muon model, Oscillating Spacetime: The Foundation...
 
The Diamonds of 2023-2024 in the IGRA collection
The Diamonds of 2023-2024 in the IGRA collectionThe Diamonds of 2023-2024 in the IGRA collection
The Diamonds of 2023-2024 in the IGRA collection
 
Pollock and Snow "DEIA in the Scholarly Landscape, Session One: Setting Expec...
Pollock and Snow "DEIA in the Scholarly Landscape, Session One: Setting Expec...Pollock and Snow "DEIA in the Scholarly Landscape, Session One: Setting Expec...
Pollock and Snow "DEIA in the Scholarly Landscape, Session One: Setting Expec...
 
Natural birth techniques - Mrs.Akanksha Trivedi Rama University
Natural birth techniques - Mrs.Akanksha Trivedi Rama UniversityNatural birth techniques - Mrs.Akanksha Trivedi Rama University
Natural birth techniques - Mrs.Akanksha Trivedi Rama University
 
Liberal Approach to the Study of Indian Politics.pdf
Liberal Approach to the Study of Indian Politics.pdfLiberal Approach to the Study of Indian Politics.pdf
Liberal Approach to the Study of Indian Politics.pdf
 
ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...
ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...
ISO/IEC 27001, ISO/IEC 42001, and GDPR: Best Practices for Implementation and...
 
A Independência da América Espanhola LAPBOOK.pdf
A Independência da América Espanhola LAPBOOK.pdfA Independência da América Espanhola LAPBOOK.pdf
A Independência da América Espanhola LAPBOOK.pdf
 
PIMS Job Advertisement 2024.pdf Islamabad
PIMS Job Advertisement 2024.pdf IslamabadPIMS Job Advertisement 2024.pdf Islamabad
PIMS Job Advertisement 2024.pdf Islamabad
 
Azure Interview Questions and Answers PDF By ScholarHat
Azure Interview Questions and Answers PDF By ScholarHatAzure Interview Questions and Answers PDF By ScholarHat
Azure Interview Questions and Answers PDF By ScholarHat
 
Smart-Money for SMC traders good time and ICT
Smart-Money for SMC traders good time and ICTSmart-Money for SMC traders good time and ICT
Smart-Money for SMC traders good time and ICT
 
Assessment and Planning in Educational technology.pptx
Assessment and Planning in Educational technology.pptxAssessment and Planning in Educational technology.pptx
Assessment and Planning in Educational technology.pptx
 
Life upper-Intermediate B2 Workbook for student
Life upper-Intermediate B2 Workbook for studentLife upper-Intermediate B2 Workbook for student
Life upper-Intermediate B2 Workbook for student
 
Your Skill Boost Masterclass: Strategies for Effective Upskilling
Your Skill Boost Masterclass: Strategies for Effective UpskillingYour Skill Boost Masterclass: Strategies for Effective Upskilling
Your Skill Boost Masterclass: Strategies for Effective Upskilling
 
writing about opinions about Australia the movie
writing about opinions about Australia the moviewriting about opinions about Australia the movie
writing about opinions about Australia the movie
 

Apache track d updated

  • 1. Comprehensive Low Power Design Analysis and Optimization An RTL to GDSII Approach Aveek Sarkar and Ronen Stilkol Apache Design Solutions
  • 2.
  • 3.
  • 4.
  • 5. Power in the RTL  GDS II Flow Target power reduction early in the design flow Ensure design verification to predict voltage drop noise from low power techniques Power reduction Usage Curve Power Integrity Usage Curve Physical Implementation & Signoff RTL Design & reduction Floor-planning & Synthesis Chip-Package-System Convergence
  • 6.
  • 7. Operational Power Reduction Clock Tree Optimization RTL: Add and Improve Clock Enables Non-enabled Enabled sel sel_1d 1 R2 D RTL reductions make clock gating more effective EN CLK CG GCLK D EN CLK D Synthesis: Clock Gating RTL Gates
  • 8. Operational Power Reduction Datapath Optimization
  • 9.
  • 10.
  • 11. Impact of Design and Process Changes on Silicon Integrity
  • 12.
  • 13.
  • 14.
  • 15. Chip Package System Convergence An RTL to GDSII Focus 06/22/10 , RTL to GDS CPS Convergence RTL Design & reduction RTL Power Reduction RTL Power Analysis Floor-planning & Synthesis PG, IO Planning Early CPM Package/PCB planning Physical Implementation & Signoff IP Validation SoC Analysis Timing Impact CPS sign-off + cost down
  • 16.