This document discusses low power electronic design and VLSI power architecture. It covers topics such as VLSI design flow, RTL modeling, synthesis, power estimation, and power reduction techniques like clock gating. Clock gating is described as a major dynamic power reduction technique that gates the clock signal to avoid unnecessary toggling of flip-flops. New trends in clock gating using techniques like stability condition and observability don't care are also summarized.
This document discusses low power VLSI designs. It covers VLSI design flows, RTL modeling using HDLs, synthesis, and power estimation and reduction techniques like clock gating. Clock gating is a major dynamic power reduction technique that gates the clock signal to avoid unnecessary toggling. New trends in clock gating leverage multi-level Boolean logic to derive enables based on stability conditions and observability don't cares. Enable strengthening aims to find a new enable that more efficiently gates the clock than existing enables.
VLSI power estimation is vital component of the modern electronic designs. Rapid changes in the advanced electronic infrastructure may causes the power to become paramount important in the VLSI designs.
The document discusses power consumption in microprocessors and techniques for power reduction. It notes that dynamic power, which scales with the square of the supply voltage and operating frequency, makes up the majority of total power consumption. Clock circuitry alone can account for 15-45% of total power. Clock gating and data gating are introduced as approaches to reduce unnecessary switching activity and clock distribution by powering down unused modules. An example of applying clock gating at the architectural level is given to turn off parts of a processor's decode, execute, and load/store units to achieve considerable power reduction of up to 25%.
Clock Generator/Jitter Cleaner with Integrated VCOsPremier Farnell
This training module provide a basic understanding of how clocks work and the various functions & key parameters of clocks, and introduces the CDCE62005 clock generator
Low Power VLSI design architecture for EDA (Electronic Design Automation) and Modern Power Estimation, Reduction and Fixing technologies including clock gating and power gating
Semiconductor engineering is becoming more dynamic fiels since the technology scaling is taking place. Power reduction techniques are lucrative solutions to the performance, area and power trade off. Therefore Power reduction of VLSI designs are critical.
Application specific integrated circuits (ASICs) are microchips designed for special applications. There are two types: full-custom ASICs where all logic cells and mask layers are customized, and semi-custom where pre-designed logic cells have some customizable mask layers. ASICs have advantages over FPGAs like lower costs, higher speeds, and lower power usage, but have higher design costs and longer development times. Common applications of ASICs include aerospace systems, high-performance processors, and specialized consumer electronics.
This document discusses low power VLSI designs. It covers VLSI design flows, RTL modeling using HDLs, synthesis, and power estimation and reduction techniques like clock gating. Clock gating is a major dynamic power reduction technique that gates the clock signal to avoid unnecessary toggling. New trends in clock gating leverage multi-level Boolean logic to derive enables based on stability conditions and observability don't cares. Enable strengthening aims to find a new enable that more efficiently gates the clock than existing enables.
VLSI power estimation is vital component of the modern electronic designs. Rapid changes in the advanced electronic infrastructure may causes the power to become paramount important in the VLSI designs.
The document discusses power consumption in microprocessors and techniques for power reduction. It notes that dynamic power, which scales with the square of the supply voltage and operating frequency, makes up the majority of total power consumption. Clock circuitry alone can account for 15-45% of total power. Clock gating and data gating are introduced as approaches to reduce unnecessary switching activity and clock distribution by powering down unused modules. An example of applying clock gating at the architectural level is given to turn off parts of a processor's decode, execute, and load/store units to achieve considerable power reduction of up to 25%.
Clock Generator/Jitter Cleaner with Integrated VCOsPremier Farnell
This training module provide a basic understanding of how clocks work and the various functions & key parameters of clocks, and introduces the CDCE62005 clock generator
Low Power VLSI design architecture for EDA (Electronic Design Automation) and Modern Power Estimation, Reduction and Fixing technologies including clock gating and power gating
Semiconductor engineering is becoming more dynamic fiels since the technology scaling is taking place. Power reduction techniques are lucrative solutions to the performance, area and power trade off. Therefore Power reduction of VLSI designs are critical.
Application specific integrated circuits (ASICs) are microchips designed for special applications. There are two types: full-custom ASICs where all logic cells and mask layers are customized, and semi-custom where pre-designed logic cells have some customizable mask layers. ASICs have advantages over FPGAs like lower costs, higher speeds, and lower power usage, but have higher design costs and longer development times. Common applications of ASICs include aerospace systems, high-performance processors, and specialized consumer electronics.
This document discusses metastability, mean time between failures (MTBF), synchronizers, and synchronizer failures. It begins with introductions to metastability and cases where it can occur. It then illustrates metastability with diagrams and graphs. It discusses how systems enter metastability and what occurs during metastability. The document derives the MTBF equation and provides an example calculation. It concludes by listing references for further information.
1. The document introduces phase locked loops (PLLs), which are electronic circuits that lock the phase of the output signal to the phase of the input signal.
2. A basic PLL system consists of a phase detector that detects the phase difference between the input and output signals, a low pass filter, and a voltage controlled oscillator whose frequency is adjusted based on the output of the filter to reduce the phase difference.
3. Modern PLLs often use a phase/frequency detector and a charge pump instead of just a phase detector, which allows the loop to lock faster and be more stable. Charge pump PLLs work by using the phase/frequency detector to control switches that charge or discharge a capacitor, producing the control voltage
The document describes how different Verilog code constructs are synthesized to hardware. It provides examples of how always blocks, variables assignments, if/else statements, case statements, mathematical operations, counters and other code are mapped to logic gates, flip-flops and other digital circuits.
This document discusses CMOS logic circuits. It begins by defining logic values and how bits are encoded using voltage levels. It then discusses different logic gates like inverters, NAND, NOR and buffers. It explains that logic gates are made from MOS transistors and describes the characteristics of N-type and P-type MOSFETs. The rest of the document discusses various electrical characteristics of CMOS logic circuits like logic levels, noise margins, input/output currents, fan-in, fan-out, propagation delay and power consumption. It also briefly mentions different CMOS logic families and issues around interfacing CMOS and TTL logic standards.
The document describes the Xilinx Virtex 7 FPGA. It discusses the FPGA's key capabilities including its high logic density enabled by stacked silicon interconnect technology, which allows multiple FPGA dies on a single interposer. This provides high bandwidth connectivity between super logic regions with low latency and power consumption. The Virtex 7 FPGA addresses market needs for lower power consumption and higher performance. It offers benefits over ASICs such as rapid prototyping and reprogrammability. Applications include ASIC prototyping, communication systems, and high performance computing.
This document describes the implementation of a bandgap reference circuit. It begins by acknowledging those who supported the project. It then provides an abstract stating that bandgap reference circuits are used to generate stable reference voltages and currents on integrated circuits. The main goal of the project was to understand bandgap reference design and limitations, and implement a bandgap reference circuit in a 90nm CMOS technology using CADENCE.
The document discusses various low power design techniques including reducing dynamic power through lowering toggle counts, clock gating at different levels, and reducing voltage. It also discusses reducing leakage power through multi threshold voltage cells, power gating, and body biasing. The techniques aim to optimize placement and routing to decrease switching activity and lower cell drive strengths when possible.
This document discusses switch level modeling in Verilog. It describes different types of transistor switches that can be used as primitives in Verilog, including nmos, pmos, rnmos, rpmos, and cmos switches. It also covers bidirectional switches like tran, tranif1, and examples of how to use the switches to model basic logic gates and memory cells like a RAM cell. Time delays can be specified for switches. Switch level modeling allows designing circuits using transistors directly in Verilog.
This document discusses various design options for digital systems including ASICs, FPGAs, and PLDs. It provides details on full-custom and cell-based ASIC design, gate array design, FPGA architecture, and different types of PLDs including ROM, PAL, and PLA. Examples are given to compare implementation of logic functions using these different PLD types. The document also discusses hierarchical system design at different levels from system to circuit.
FPGAs can be programmed after manufacturing to implement custom logic functions. They contain programmable logic blocks and interconnects that can be configured to create custom circuits. FPGAs provide flexibility compared to ASICs but have higher per-unit costs. The FPGA architecture consists of configurable logic blocks, programmable interconnects, and I/O blocks. Configurable logic blocks contain LUTs that implement logic functions. Programmable interconnects connect the logic blocks, and I/O blocks interface with external components. FPGAs are commonly used for prototyping, emulation, parallel computing, and other applications that require customizable hardware.
FPGA are a special form of Programmable logic devices(PLDs) with higher densities as compared to custom ICs and capable of implementing functionality in a short period of time using computer aided design (CAD) software....by mathewsubin3388@gmail.com
This document discusses the CMOS inverter. It explains the switch models of the CMOS inverter and how the input signals determine whether the NMOS or PMOS transistor is on. It also discusses the properties of static CMOS inverters, including their voltage transfer characteristic curve and noise margins. The document describes how process variations and supply voltage scaling can impact the inverter's performance. Finally, it examines the dynamic behavior of the CMOS inverter and the parasitic capacitances that affect its switching speeds.
The document outlines the VLSI design flow, including the basics where the design starts with specifications and is iteratively modified until requirements are met. It also describes the main stages of the design flow as architecture design, gate-level design, circuit-level design, HDL coding, simulation, verification, and fabrication. Additionally, it explains the three domains of VLSI design as behavioral, structural, and physical.
VLSI stands for Very Large Scale integration is the art of integrating millions of transistors on a Silicon Chip. Researchers are working to incorporate large scale integration of electronic devices on a single silica chip “Integrated Circuit or IC” to fulfill the market demand. Here, in this presentation we will learn introduction and history of VLSI, VLSI Design Style and Flow, VLSI Design Approaches, CPLD, FPGA, Programmable Logic Arrays, Xilinx vs. Altera Design tools, flow and files.
DIFFERENTIAL AMPLIFIER using MOSFET, Modes of operation,
The MOS differential pair with a common-mode input voltage ,Common mode rejection,gain, advantages and disadvantages.
This chapter discusses static CMOS circuits. It covers the goals of optimizing gate metrics like area, speed, energy and robustness. It discusses static CMOS logic families and high-performance circuit design techniques. Static CMOS circuits keep each gate output connected to either VDD or VSS at all times, unlike dynamic circuits which rely on temporary signal storage. The chapter explains how to construct static CMOS gates using pull-up and pull-down networks and discusses transistor sizing to optimize performance.
This document provides an introduction to FPGA design fundamentals including:
- Programmable logic devices like PLDs, CPLDs, and FPGAs which allow for reconfigurable logic circuits.
- The basic architecture of FPGAs including configurable logic blocks (CLBs), input/output blocks (IOBs), and a programmable interconnect structure.
- Verilog and VHDL as common hardware description languages used for FPGA design entry and simulation.
- A simple example of designing a half-adder circuit in VHDL, including entity, architecture, and behavioral modeling style.
A VLSI (Very Large Scale Integration) system integrates millions of “electronic components” in a small area (few mm2 few cm2).
design “efficient” VLSI systems that has:
Circuit Speed ( high )
Power consumption ( low )
Design Area ( low )
This document provides an overview of PID controllers, including:
- The three components of a PID controller are proportional, integral, and derivative terms.
- PID controllers are widely used in industrial control systems due to their general applicability even without a mathematical model of the system.
- Ziegler-Nichols tuning rules can be used to experimentally determine initial PID parameters to provide a stable initial response for the system. Fine-tuning is then used to optimize the response.
Power reduction techniques are important for the modern VLSI designs. Power is the today's major concern when we come to optimal trade off between area, performance and power.
This document describes an IA-32 processor core designed to operate over a wide voltage range from near-threshold voltages to maximum voltage. Key points:
- The "Claremont" prototype core can operate from 0.5V to 1.1V, achieving a 4.5x reduction in energy per cycle at its optimal voltage of 0.45V compared to maximum voltage. It demonstrates reliable near-threshold voltage operation down to 0.38V.
- Novel circuit techniques like variation-aware logic pruning, interruptible sequentials, and 10T register files enable robust near-threshold operation. Multi-corner timing convergence and programmable delay buffers manage skew across the wide voltage range.
- The core
This document discusses metastability, mean time between failures (MTBF), synchronizers, and synchronizer failures. It begins with introductions to metastability and cases where it can occur. It then illustrates metastability with diagrams and graphs. It discusses how systems enter metastability and what occurs during metastability. The document derives the MTBF equation and provides an example calculation. It concludes by listing references for further information.
1. The document introduces phase locked loops (PLLs), which are electronic circuits that lock the phase of the output signal to the phase of the input signal.
2. A basic PLL system consists of a phase detector that detects the phase difference between the input and output signals, a low pass filter, and a voltage controlled oscillator whose frequency is adjusted based on the output of the filter to reduce the phase difference.
3. Modern PLLs often use a phase/frequency detector and a charge pump instead of just a phase detector, which allows the loop to lock faster and be more stable. Charge pump PLLs work by using the phase/frequency detector to control switches that charge or discharge a capacitor, producing the control voltage
The document describes how different Verilog code constructs are synthesized to hardware. It provides examples of how always blocks, variables assignments, if/else statements, case statements, mathematical operations, counters and other code are mapped to logic gates, flip-flops and other digital circuits.
This document discusses CMOS logic circuits. It begins by defining logic values and how bits are encoded using voltage levels. It then discusses different logic gates like inverters, NAND, NOR and buffers. It explains that logic gates are made from MOS transistors and describes the characteristics of N-type and P-type MOSFETs. The rest of the document discusses various electrical characteristics of CMOS logic circuits like logic levels, noise margins, input/output currents, fan-in, fan-out, propagation delay and power consumption. It also briefly mentions different CMOS logic families and issues around interfacing CMOS and TTL logic standards.
The document describes the Xilinx Virtex 7 FPGA. It discusses the FPGA's key capabilities including its high logic density enabled by stacked silicon interconnect technology, which allows multiple FPGA dies on a single interposer. This provides high bandwidth connectivity between super logic regions with low latency and power consumption. The Virtex 7 FPGA addresses market needs for lower power consumption and higher performance. It offers benefits over ASICs such as rapid prototyping and reprogrammability. Applications include ASIC prototyping, communication systems, and high performance computing.
This document describes the implementation of a bandgap reference circuit. It begins by acknowledging those who supported the project. It then provides an abstract stating that bandgap reference circuits are used to generate stable reference voltages and currents on integrated circuits. The main goal of the project was to understand bandgap reference design and limitations, and implement a bandgap reference circuit in a 90nm CMOS technology using CADENCE.
The document discusses various low power design techniques including reducing dynamic power through lowering toggle counts, clock gating at different levels, and reducing voltage. It also discusses reducing leakage power through multi threshold voltage cells, power gating, and body biasing. The techniques aim to optimize placement and routing to decrease switching activity and lower cell drive strengths when possible.
This document discusses switch level modeling in Verilog. It describes different types of transistor switches that can be used as primitives in Verilog, including nmos, pmos, rnmos, rpmos, and cmos switches. It also covers bidirectional switches like tran, tranif1, and examples of how to use the switches to model basic logic gates and memory cells like a RAM cell. Time delays can be specified for switches. Switch level modeling allows designing circuits using transistors directly in Verilog.
This document discusses various design options for digital systems including ASICs, FPGAs, and PLDs. It provides details on full-custom and cell-based ASIC design, gate array design, FPGA architecture, and different types of PLDs including ROM, PAL, and PLA. Examples are given to compare implementation of logic functions using these different PLD types. The document also discusses hierarchical system design at different levels from system to circuit.
FPGAs can be programmed after manufacturing to implement custom logic functions. They contain programmable logic blocks and interconnects that can be configured to create custom circuits. FPGAs provide flexibility compared to ASICs but have higher per-unit costs. The FPGA architecture consists of configurable logic blocks, programmable interconnects, and I/O blocks. Configurable logic blocks contain LUTs that implement logic functions. Programmable interconnects connect the logic blocks, and I/O blocks interface with external components. FPGAs are commonly used for prototyping, emulation, parallel computing, and other applications that require customizable hardware.
FPGA are a special form of Programmable logic devices(PLDs) with higher densities as compared to custom ICs and capable of implementing functionality in a short period of time using computer aided design (CAD) software....by mathewsubin3388@gmail.com
This document discusses the CMOS inverter. It explains the switch models of the CMOS inverter and how the input signals determine whether the NMOS or PMOS transistor is on. It also discusses the properties of static CMOS inverters, including their voltage transfer characteristic curve and noise margins. The document describes how process variations and supply voltage scaling can impact the inverter's performance. Finally, it examines the dynamic behavior of the CMOS inverter and the parasitic capacitances that affect its switching speeds.
The document outlines the VLSI design flow, including the basics where the design starts with specifications and is iteratively modified until requirements are met. It also describes the main stages of the design flow as architecture design, gate-level design, circuit-level design, HDL coding, simulation, verification, and fabrication. Additionally, it explains the three domains of VLSI design as behavioral, structural, and physical.
VLSI stands for Very Large Scale integration is the art of integrating millions of transistors on a Silicon Chip. Researchers are working to incorporate large scale integration of electronic devices on a single silica chip “Integrated Circuit or IC” to fulfill the market demand. Here, in this presentation we will learn introduction and history of VLSI, VLSI Design Style and Flow, VLSI Design Approaches, CPLD, FPGA, Programmable Logic Arrays, Xilinx vs. Altera Design tools, flow and files.
DIFFERENTIAL AMPLIFIER using MOSFET, Modes of operation,
The MOS differential pair with a common-mode input voltage ,Common mode rejection,gain, advantages and disadvantages.
This chapter discusses static CMOS circuits. It covers the goals of optimizing gate metrics like area, speed, energy and robustness. It discusses static CMOS logic families and high-performance circuit design techniques. Static CMOS circuits keep each gate output connected to either VDD or VSS at all times, unlike dynamic circuits which rely on temporary signal storage. The chapter explains how to construct static CMOS gates using pull-up and pull-down networks and discusses transistor sizing to optimize performance.
This document provides an introduction to FPGA design fundamentals including:
- Programmable logic devices like PLDs, CPLDs, and FPGAs which allow for reconfigurable logic circuits.
- The basic architecture of FPGAs including configurable logic blocks (CLBs), input/output blocks (IOBs), and a programmable interconnect structure.
- Verilog and VHDL as common hardware description languages used for FPGA design entry and simulation.
- A simple example of designing a half-adder circuit in VHDL, including entity, architecture, and behavioral modeling style.
A VLSI (Very Large Scale Integration) system integrates millions of “electronic components” in a small area (few mm2 few cm2).
design “efficient” VLSI systems that has:
Circuit Speed ( high )
Power consumption ( low )
Design Area ( low )
This document provides an overview of PID controllers, including:
- The three components of a PID controller are proportional, integral, and derivative terms.
- PID controllers are widely used in industrial control systems due to their general applicability even without a mathematical model of the system.
- Ziegler-Nichols tuning rules can be used to experimentally determine initial PID parameters to provide a stable initial response for the system. Fine-tuning is then used to optimize the response.
Power reduction techniques are important for the modern VLSI designs. Power is the today's major concern when we come to optimal trade off between area, performance and power.
This document describes an IA-32 processor core designed to operate over a wide voltage range from near-threshold voltages to maximum voltage. Key points:
- The "Claremont" prototype core can operate from 0.5V to 1.1V, achieving a 4.5x reduction in energy per cycle at its optimal voltage of 0.45V compared to maximum voltage. It demonstrates reliable near-threshold voltage operation down to 0.38V.
- Novel circuit techniques like variation-aware logic pruning, interruptible sequentials, and 10T register files enable robust near-threshold operation. Multi-corner timing convergence and programmable delay buffers manage skew across the wide voltage range.
- The core
This document discusses library characterization, which involves characterizing standard cell libraries used in semiconductor design. It begins with an overview of why library characterization is an interesting career and then discusses fundamental terminology. It provides examples of characterizing an inverter and D flip-flop, covering timing analysis, power characterization, and more. Advanced topics discussed include state dependent delays, load capacitance characterization, and measuring tri-state delays. References are provided for further reading.
Design of -- Two phase non overlapping low frequency clock generator using Ca...Prashantkumar R
This document describes designing a two-phase non-overlapping clock generator circuit with buffered outputs. The circuit is required to generate clean square wave clock signals from a single-phase input clock between 10-100MHz. The output signals must drive a 0.33pF capacitive load without distortion. The design will be implemented using Cadence tools and modified through simulation to meet the objectives of generating true non-overlapping signals with at least 1ns of underlap that can operate over the specified frequency range and drive the required load.
This document describes a student project to build an interrupt-driven multiplexed 7-segment digital clock. It includes an introduction to digital clocks, objectives of the project, technologies used including time division multiplexing, block diagrams, working principles, circuit diagrams, component descriptions, software design, the scope and advantages of the project, potential future improvements, and references. The students thank their teachers and institution for permitting and supporting the project.
MICROPROCESSOR BASED SUN TRACKING SOLAR PANEL SYSTEM TO MAXIMIZE ENERGY GENER...moiz89
The document describes the development of a sun tracking solar panel system to maximize solar energy generation. The system uses a microcontroller and DC motors to control the angle of rotation of solar panels, tracking the maximum sunlight intensity and increasing the efficiency of solar energy collection. Sensors detect light levels, the microcontroller determines the position with highest light intensity, and motors rotate the panels toward that position. The system aims to increase output of solar panels through active tracking and positioning toward sunlight.
Performance Comparison of Various Clock Gating Techniquesiosrjce
Clock signal have been a great source of power dissipation in synchronous circuits because of high
frequency and load. So , by using clock gating one can save power by reducing unnecessary switching activity
inside the gated module. Here four gating methods are discussed and their power dissipation is compared. The
most popular is synthesis-based, deriving clock enabling signals based on the logic of the underlying system. It
unfortunately leaves the majority of the clock pulses driving the flip flops (FFs) redundant. A data driven
method stops most of those and yields higher power savings, but its implementation is complex and application
dependent. A third method called auto gated FFs (AGFF) is simple but yields relatively small power savings.
Another novel method called Look Ahead Clock Gating (LACG) is presented, which combines all the three.It
avoids the tight timing constraints of AGFF and data driven by allotting a full clock cycle for the computation of
the enabling signals and their propagation.
Track d more performance less power - freescale finalchiportal
MSC8157 is Freescale's multi-core baseband DSP chip manufactured using 45nm process technology. It utilizes various state-of-the-art power saving techniques at the architecture, design, and manufacturing levels including low power modes, power gating, clock gating, and multi-voltage/frequency approaches. These techniques allow functional blocks to reduce power in low utilization states through voltage and frequency scaling or power shutoff while maintaining performance in high utilization states.
Fpga implementation of power efficient all digital phase locked loopIAEME Publication
The document summarizes a study on implementing an all-digital phase locked loop (ADPLL) in an FPGA. It describes the components of the original ADPLL design including a phase frequency detector, time to digital converter, decoder, digitally controlled oscillator, and frequency divider. It then presents a modified ADPLL design that replaces the frequency divider with a sigma delta modulator and modifies the digitally controlled oscillator for lower power. Simulation results show the modified ADPLL achieves power reduction of 0.001W compared to the original design while maintaining functionality when used with a frequency multiplier circuit.
Karthik Koneru is seeking an entry-level position in analog and mixed-signal design/verification starting in May 2015. He has a Master's degree in Electrical Engineering from Arizona State University and experience designing circuits including op-amps, voltage references, PLLs, ADCs, and LDO voltage regulators. His skills include Verilog, Cadence tools, and he has experience with projects involving PLLs, sigma-delta modulators, ADCs, and current references.
Karthik Koneru is seeking an entry-level position in analog and mixed-signal design/verification starting in May 2015. He has a Master's degree in Electrical Engineering from Arizona State University and experience designing circuits including op-amps, voltage references, PLLs, ADCs, and LDO voltage regulators. His skills include Verilog, Cadence tools, and he has experience with projects involving PLL, sigma-delta modulator, and pipelined ADC design.
Design & implementation of 16 bit low power ALU with clock gatingIRJET Journal
This document describes the design and implementation of a low power 16-bit arithmetic logic unit (ALU) using clock gating. Clock gating is used to selectively clock only the active modules of the ALU, reducing dynamic power consumption by an estimated 66.7%. The ALU uses a variable block length carry skip adder for arithmetic operations and supports logic operations. It is implemented in VHDL and synthesized on a Xilinx Spartan 3E FPGA, achieving a maximum frequency of 65.19MHz with reduced power consumption compared to a non-clock gated design. Clock gating selectively activates either the arithmetic or logic units using control signals to reduce unnecessary switching activity and lower dynamic power dissipation.
This document discusses different types of flip-flops used in circuit design, including their diagrams and operating principles. It covers conventional CMOS flip-flops, resettable flip-flops, enabled flip-flops, and differential flip-flops. For each type, it provides details on their circuit implementation and how inputs like clock, reset and enable signals determine the output. The document also briefly outlines advantages like simpler circuit design, and disadvantages like reaction time between input-output changes.
Three-phase ac motors have been the workhorse of industry since the earliest days of electrical engineering. They are reliable, efficient, cost-effective and need little or no maintenance. In addition, ac motors such as induction and reluctance motors need no electrical connection to the rotor, so can easily be made flameproof for use in hazardous environments such as in mines.
In order to provide proper speed control of an ac motor, it is necessary to supply the motor with a three phase supply of which both the voltage and the frequency can be varied. Such a supply will create a variable speed rotating field in the stator that will allow the rotor to rotate at the required speed with low slip. This ac motor drive can efficiently provide full torque from zero speed to full speed, can overspeed if necessary, and can, by changing phase rotation, easily provide bi-directional operation of the motor. A drive with these characteristics is known as a PWM (Pulse Width Modulated) motor drive.
Drives and motors are an integral part of industrial equipment from packaging,robotics, computer numerical control (CNC), machine tools, industrial pumps,and fans. Designing next-generation drive systems to lower operating costs requires complex control algorithms at very low latencies as well as a flexibleplatform to support changing needs and the ability to design multiple-axis systems.
Traditional drive systems based on ASICs, digital signal processors (DSPs), and microcontroller units lack the performance and flexibility to address these needs. Altera’s family of FPGAs provides a scalable platform that can be used to offload control algorithm elements in hardware. You may also integrate the whole drive system with industry-proven processor architectures while supporting multipletypes of encoders and industrial Ethernet protocols. This “drive on a chip” system reduces cost and simplifies development.
The document discusses low power design techniques in VLSI. It begins by explaining why low power has become important, especially with the rise of mobile devices. It then discusses the different sources of power consumption, including dynamic and static power. Several low power design techniques are covered, such as clock gating, multi-Vt libraries, multi-voltage design, and power gating. The document emphasizes analyzing power at the system level and using EDA tools to implement low power techniques throughout the design flow. Overall, it provides an overview of analyzing power consumption and the goals and methods of low power VLSI design.
Power gating is the main power reduction techniques for the static power. As long as technology scaling is taking place, static power becomes paramount important factor to the VLSI designs.Therefore Power gating is the recent power reduction technique that is actively in research areas.
PERFORMANCE ANALYSIS OF D-FLIP FLOP USING CMOS, GDI, DSTC TECHNIQUESIRJET Journal
The document analyzes the performance of D-flip flops designed using CMOS, GDI, and DSTC techniques. D-flip flops were designed using each technique in a 45nm technology using Tanner EDA tools. Simulations were performed to analyze propagation delay and power consumption. The DSTC design had the lowest propagation delay of 70.733ns and power consumption of 1.4349uW, followed by the GDI design with 54.521ns delay and 1.1475uW power. The CMOS design had the highest delay of 93.5197ns and power consumption of 1.8856uW. Therefore, the DSTC technique provides the best performance for low power and high-
AREA EFFICIENT 3.3GHZ PHASE LOCKED LOOP WITH FOUR MULTIPLE OUTPUT USING 45NM ...VLSICS Design
This paper present area efficient layout designs for 3.3GigaHertz (GHz) Phase Locked loop (PLL) with four multiple output. Effort has been taken to design Low Power Phase locked loop with multiple output, using VLSI technology. VLSI Technology includes process design, trends, chip fabrication, real circuit parameters, circuit design, electrical characteristics, configuration building blocks, switching circuitry, translation onto silicon, CAD and practical experience in layout design. The proposed PLL is designed using 45 nm CMOS/VLSI technology with microwind 3.1. This software allows designing and simulating an integrated circuit at physical description level. The main novelties related to the 45 nm technology are the high-k gate oxide, metal gate and very low-k interconnect dielectric. The effective gate length required for 45 nm technology is 25nm. Low Power (0.211miliwatt) phase locked loop with four multiple outputs as PLL8x, PLL4x, PLL2x, & PLL1x of 3.3 GHz, 1.65 GHz, 0.825 GHz, and 0.412 GHz respectively is obtained using 45 nm VLSI technology.
OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...OPAL-RT TECHNOLOGIES
This document describes rapid control prototyping (RCP) solutions from OPAL-RT for power electronics, electric drives, and power systems. RCP allows users to build real-time experimental setups to test and validate control designs without extensive coding. The OPAL-RT solution features high-speed I/O, flexible connectivity options, and real-time simulation tools to efficiently develop and test control algorithms. Example applications discussed include electric motor drives, modular multilevel converters, and multi-terminal HVDC systems.
250 MHz Multiphase Delay Locked Loop for Low Power Applications IJECEIAES
This document summarizes a research paper that proposes a 250 MHz multiphase delay locked loop (DLL) for low power applications. The DLL is implemented using a 0.18um CMOS technology and operates at 1.8V with a power consumption of 1.39mW at 125MHz center frequency and locking range of 0.5MHz to 250MHz. Key components of the DLL include a modified true single phase clock phase frequency detector, a charge pump and second order loop filter, and a voltage controlled delay line consisting of single ended differential pair delay cells. Simulation results show the DLL provides proper clock synchronization with negligible phase error between the reference clock and DLL output clocks.
- The document proposes developing a Java API for WSO2 Machine Learner (ML) that supports streaming data and machine learning algorithms like k-means clustering and generalized linear models.
- The API would work by periodically retraining ML models on mini-batches of data extracted from incoming data streams, such as event streams from a Complex Event Processor.
- This would allow real-time predictive analysis of streaming data using WSO2 ML, by incrementally updating models with new streaming data.
High Performance Flow Matching Architecture for Openflow Data PlaneMahesh Dananjaya
This document proposes a novel high performance flow matching architecture for OpenFlow data planes. It introduces an integrated approach using a customized RISC network processor and dedicated parallel logic. The processor provides flexibility and programmability while the dedicated logic handles performance-intensive flow matching tasks with reduced TCAM usage. An FPGA implementation of this architecture achieves high performance while minimizing resource utilization.
This document discusses digital integrated circuit design and the physical design process. It describes the key stages of digital design as electronic system level, RTL design, and physical design. Physical design involves steps like floorplanning, clustering/partitioning, placement, clock tree synthesis, and routing to lay out the design according to a technology library. Physical design categories include full custom, semi-custom, and pre-cast designs, which differ in the flexibility allowed in cell usage and placement/routing.
Low Power Electronic design is basically compromised with power aware digital system designs techniques. Especially VLSI power architecture with advanced power reduction techniques are discussed in details here
SOC, SOPC, MPSOC, ASIC are new VLSI approaches. Therefore power estimation and precise power calculation is vital to better understanding of Electronic world
This document discusses VLSI power architecture and optimization. It covers topics like VLSI design flow, RTL modeling, synthesis, power estimation, and power reduction techniques like clock gating. Clock gating is a major dynamic power reduction technique that gates the clock signal to avoid unnecessary toggling when the flop is not required to change state. New trends in clock gating leverage techniques like stability condition and observability don't care to further optimize clock gating.
International Conference on NLP, Artificial Intelligence, Machine Learning an...gerogepatton
International Conference on NLP, Artificial Intelligence, Machine Learning and Applications (NLAIM 2024) offers a premier global platform for exchanging insights and findings in the theory, methodology, and applications of NLP, Artificial Intelligence, Machine Learning, and their applications. The conference seeks substantial contributions across all key domains of NLP, Artificial Intelligence, Machine Learning, and their practical applications, aiming to foster both theoretical advancements and real-world implementations. With a focus on facilitating collaboration between researchers and practitioners from academia and industry, the conference serves as a nexus for sharing the latest developments in the field.
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressionsVictor Morales
K8sGPT is a tool that analyzes and diagnoses Kubernetes clusters. This presentation was used to share the requirements and dependencies to deploy K8sGPT in a local environment.
Comparative analysis between traditional aquaponics and reconstructed aquapon...bijceesjournal
The aquaponic system of planting is a method that does not require soil usage. It is a method that only needs water, fish, lava rocks (a substitute for soil), and plants. Aquaponic systems are sustainable and environmentally friendly. Its use not only helps to plant in small spaces but also helps reduce artificial chemical use and minimizes excess water use, as aquaponics consumes 90% less water than soil-based gardening. The study applied a descriptive and experimental design to assess and compare conventional and reconstructed aquaponic methods for reproducing tomatoes. The researchers created an observation checklist to determine the significant factors of the study. The study aims to determine the significant difference between traditional aquaponics and reconstructed aquaponics systems propagating tomatoes in terms of height, weight, girth, and number of fruits. The reconstructed aquaponics system’s higher growth yield results in a much more nourished crop than the traditional aquaponics system. It is superior in its number of fruits, height, weight, and girth measurement. Moreover, the reconstructed aquaponics system is proven to eliminate all the hindrances present in the traditional aquaponics system, which are overcrowding of fish, algae growth, pest problems, contaminated water, and dead fish.
Literature Review Basics and Understanding Reference Management.pptxDr Ramhari Poudyal
Three-day training on academic research focuses on analytical tools at United Technical College, supported by the University Grant Commission, Nepal. 24-26 May 2024
Understanding Inductive Bias in Machine LearningSUTEJAS
This presentation explores the concept of inductive bias in machine learning. It explains how algorithms come with built-in assumptions and preferences that guide the learning process. You'll learn about the different types of inductive bias and how they can impact the performance and generalizability of machine learning models.
The presentation also covers the positive and negative aspects of inductive bias, along with strategies for mitigating potential drawbacks. We'll explore examples of how bias manifests in algorithms like neural networks and decision trees.
By understanding inductive bias, you can gain valuable insights into how machine learning models work and make informed decisions when building and deploying them.
Advanced control scheme of doubly fed induction generator for wind turbine us...IJECEIAES
This paper describes a speed control device for generating electrical energy on an electricity network based on the doubly fed induction generator (DFIG) used for wind power conversion systems. At first, a double-fed induction generator model was constructed. A control law is formulated to govern the flow of energy between the stator of a DFIG and the energy network using three types of controllers: proportional integral (PI), sliding mode controller (SMC) and second order sliding mode controller (SOSMC). Their different results in terms of power reference tracking, reaction to unexpected speed fluctuations, sensitivity to perturbations, and resilience against machine parameter alterations are compared. MATLAB/Simulink was used to conduct the simulations for the preceding study. Multiple simulations have shown very satisfying results, and the investigations demonstrate the efficacy and power-enhancing capabilities of the suggested control system.
2. Electronic Design Automation (EDA)
Integrated Circuit design has evolved from basic logic design to very
large scale integrated circuits (VLSI)
FPGA, ASIC, SOC, SOPC, MPSOC, NOC and BOC (Brain-on-Chip)
will be the pathway to next generation
Technology Scaling and high speed clocking
Complex Digital designs with millions of transistors will not be easy to
design manually
Need a Computer aided intelligent design solutions
3. VLSI DESIGN FLOW
Design Specification
Architectural Design
RTL Modeling
Synthesis
Physical Design
Layout sign off
Fabrication
Package and Test
Partitioning and Clustering
Floor Planning
Placement
Clock Tree Synthesis
Signal Routing
Timing Closure
4. NETLIST VS RTL SIGNOFF
Netlist Signoff RTL Signoff
RTL
Synthesis
Layout
Layout Signoff
Fab
RTL
RTL Signoff
Synthesis
Layout
Sign Off
Fab
5. RTL MODELING AND HDL
Structural and Behavioral Modeling
Hardware Descriptive Language (HDL)
Verilog
VHDL
System Verilog
Mixed Languages
HDL Abstraction Level
Behavioral Model
Data Flow Model
Gate Level Model
7. VLSI POWER
Power is becoming caliber behind the VLSI design
Dynamic Power is the dominant culprit of the prevailing design
Leakage power is emerging their counterpart as technology scaling makes
design
Trade off between power ,performance and area should be optimized for an
efficient design
Electronic Design Automation (EDA) should focus on power estimation,
reduction and fixing techniques
Challenge to assure power aware VLSI architecture with technology scaling
and fastening the clock
8. WHY POWER ?
Battery Life
Cost of packaging and cooling
Reliability and performance degradation
Slower, leakier circuits at high temperature, higher rate of electro migration
Technology scaling impose more features to be integrated on small area
Physical design is becoming more and more complex
performance systems has a barrier of large power consumptions
10. SWICTHING POWER
Power generated due to output changes, thus charging and
discharging the load capacitance.
Switching power dissipates mainly depend on the,
System Clock Frequency
Activity Switching Frequency
Switching Power Calculation depends on the three factors
𝑪 − 𝑳𝒐𝒂𝒅 𝑪𝒂𝒑𝒂𝒄𝒊𝒕𝒂𝒏𝒄𝒆
𝒇 − 𝑺𝒘𝒊𝒕𝒄𝒉𝒊𝒏𝒈 𝑭𝒓𝒆𝒒𝒆𝒏𝒄𝒚
𝑽 − 𝑫𝒓𝒊𝒗𝒊𝒏𝒈 𝑽𝒐𝒍𝒕𝒂𝒈𝒆
𝑃𝑆 = 𝐶 ∗ 𝑉2
∗ 𝑓
11. INTERNAL POWER
Short circuit path has been created between power and ground at the
transition stage
Thus the short circuit current is generated
Both NMOS and PMOS transistors are conducting for a short period of
time
Power dissipation due to this temporary short circuit path and the
internal capacitance is Internal Power
Depends on some factors,
Input edge time
Slew Rate
Internal Capacitances
𝑃𝐼 = 𝑉 ∗ 𝐼𝑆𝐶
12. DYNAMIC POWER
Dynamic power is the sum of switching power and internal power
𝑷 𝑫 = 𝑷 𝑺 + 𝑷 𝑰
𝑷 𝑫 = 𝑪 ∗ 𝑽 𝟐
∗ 𝒇 + 𝑷 𝑰
𝑷 𝑫 = 𝑪 ∗ 𝑽 𝟐 ∗ 𝒇 + 𝑽 ∗ 𝑰 𝑺𝑪
𝑷 𝑫 ⩭ 𝑪 𝒆𝒇𝒇 ∗ 𝑽 𝟐
∗ 𝒇 𝒔𝒘𝒊𝒕𝒄𝒉
13. STATIC POWER
Due to non-idle characteristic of the transistor the leakages can
be taken place
Static power is nothing, but leakage power
There are two main types of leakages and their subsidiaries
𝐼 𝑂𝐹𝐹 − Sub-threshold leakage (Drain Leakage Current)
𝐼 𝐷,𝑤𝑒𝑎𝑘 − 𝑆𝑢𝑏 − 𝑡ℎ𝑟𝑒𝑠ℎ𝑜𝑙𝑑 𝐷𝑟𝑎𝑖𝑛 𝐶𝑢𝑟𝑟𝑒𝑛𝑡
𝐼𝑖𝑛𝑣 − 𝑅𝑒𝑣𝑒𝑟𝑠𝑒 𝐵𝑖𝑎𝑠𝑒𝑑 𝐶𝑢𝑟𝑟𝑒𝑛𝑡
𝐼 𝐺𝐼𝐷𝐿 − 𝐺𝑎𝑡𝑒 𝐼𝑛𝑑𝑢𝑐𝑒𝑑 𝐷𝑟𝑎𝑖𝑛 𝐿𝑒𝑎𝑘𝑎𝑔𝑒
𝐼 𝐺𝐴𝑇𝐸 − Gate Leakage Current
𝐼 𝑇𝑈𝑁𝑁𝐸𝐿 − 𝐺𝑎𝑡𝑒 𝑇𝑢𝑛𝑛𝑒𝑙𝑖𝑛𝑔
𝐼 𝐻𝐶 − 𝐻𝑜𝑡 𝐶𝑎𝑟𝑟𝑖𝑒𝑟 𝐼𝑛𝑗𝑒𝑐𝑡𝑖𝑜𝑛
16. VARIOUS OTHER POWER
Metastability
Output of the flops are remains on the undefined states which s caused by the
violation of setup time and hold time.
Set Up Time
Amount of time that the input signal needs to be stable before clocking the flop
Hold Time
Amount of time that input signal wants to be stable after clocking the flop
Glitches
Glitches are unwanted or undesired changes in signals which are resilient (self
correcting).
caused by delays in lines and propagation delays of cells.
Latchups
LatchUps is a short circuit path between supply and the ground
17. EDA POWER ESTIMATION
Mostly based on the tech libraries
Based on two major calculations
Activity
The number of toggles per clock cycle on the signal, averaged
over many cycles
Probability
Percentage of the time that the signal will be high
18. POWER REDUCTION
Power reduction is very important
Can be classified into three main categories based on their implementation and
occurrence
Device Engineering
This refers to techniques that are implemented on the underlying
transistor that form digital circuitry. This is mostly involved with the
transistor level components.
Circuit Engineering
These refer to techniques that are applied to gate/logic level, which are
clusters of transistors that perform a small computation like NAND,
NOR etc.
System Engineering
These are referring to techniques that can be applied to macro-blocks
that are part of a big data path or micro-chip.
19. Parallelism and Pipelined micro-architecture
Clock Gating
Power Gating
Voltage Islands
Gate Sizing
Multi VDD
DVFS – Dynamic Voltage Frequency Scaling
Device Level
Multi Threshold Devices
Low Capacitance in device
High k Hf based MOS
LOW POWER LEVERAGES
20. DYNAMIC POWER REDUCTION
Dynamic power reduction is very important because,
Clock tree consume more than 50% of dynamic power consumption.
Power consumed by combinational logic whose values are changing on
each clock edge
Power consumed by flops
Power consumed by the clock buffer tree
Asynchronous Logic Circuits which is not driven by the global clock, is also
changing de to state changes in the flops.
21. CLOCK GATING
Major dynamic power reduction technique
Gate the clock as much as the flop is not necessary to be toggled
Otherwise in every clock cycle flop will toggle and dissipate more power
Local clock gating has a new enable to every flop where clock gating is
necessary
But with complex VLSI design it is not sustainable to use local clock
gating
We need to derive a logic for new enable with the current logic
22. LOCAL CLOCK GATING
Local enable is used to gate the flop
Enable and clock are and gated and the gated clock is provided
to the flop
Local enable, do not have a global perception
AND
FLOP
D Q
Enable
Clock
Data IN Data Out
23. CLOCK GATING METHODS
Latch Free Clock Gating
Latch Based Clock Gating
AND
FLOP
D Q
Enable
Clock
Data IN
Data Out
Gated CLK
AND
FLOP
D Q
Enable
Clock
CLK
Data Out
Data
CLK D Q
FLOP
Gated CLK
24. MULTI LEVEL BOOLEAN LOGIC
Satisfiability Don’t Care (SDC)
Design spots where certain input/ input combination to a circuit can never
occur. There may be possible causes for the SDC conditions.
𝒚 = 𝒂 + 𝒃 , 𝒕𝒉𝒆𝒏 𝒚 = 𝟎, 𝒂 = 𝟏, 𝒃 = ~ 𝒘𝒊𝒍𝒍 𝒏𝒆𝒗𝒆𝒓 𝒐𝒄𝒄𝒖𝒓 (𝑺𝑫𝑪)
Observability Don’t Care (ODC)
Design spots where local changes cannot be observed at the primary
outputs.
𝒚 = 𝒂 + 𝒃, 𝒘𝒉𝒆𝒏 𝒂 = 𝟏, 𝒄𝒉𝒂𝒏𝒈𝒆 𝒐𝒏 𝒃 𝒊𝒔 𝒏𝒐𝒕 𝒐𝒃𝒔𝒆𝒓𝒗𝒂𝒃𝒍𝒆
25. NEW TRENDS OF CLOCK GATING
Based on the multi level Boolean logic derivations
There are two ways of clock gating to derivate new enable based on the input and output
logics.
Stability Condition (STC)
Stability condition is defined with the stability of the input to the flop when
upstream flop is stable, no new data or changes come to the downstream flop
Observability Don’t Care (ODC)
There are Situations where the output of the flop is changing or staying
constant, but that output is not used in the downstream and read only for a
certain time period of time
26. STABILITY CONDITION (STC)
Stability condition is defined as stability of the input to the flop when upstream flop is
stable, no new data or changes come to the downstream flop.
If the input to the flop is not changing with the (Stable) for a period of time, there is no
use of toggling the flop for state changes.
In such situation input to the flop is just remain constant thus output of the flop also
stable without changing.
Then we can stop providing clock to the flop and save more power
EN1
Upstream
register
Downstream
register
28. OBSERVABILITY DON’T CARE (ODC)
There are Situations where the output of the flop is changing or staying
constant, but that output is not used in the downstream and read only for a
certain time period of time.
Then toggling and state changes of the flop for entire time period is not
required.
Therefore we can shut down that flop for a relevant time period where the
output of the flop will not be read and unnecessary.
And we can reactivate the flop when someone is actually reading its output.
0
1
Q
30. CLOCK GATING EFFICIENCY & ENABLE STRENGTHENING
Most of the devices have explicit or already instantiated clock enables in the digital
designs according to records advanced SOC designs such as mobile application units is
recommended to have around 90% of clock gating cross designs.
Although the digital designs consist of explicit or instantiated clock enables, all of
them are not efficient and provided an efficient clock gating.
Therefore modern approaches are focusing on finding a new enable which strengthen
the existing enable.
This process and new enable are often known as Enable Strengthening and the
Strengthened Enable respectively.
Basis behind this approach is to strengthen the existing one with new one, if the
percentage of power reduction through the new enable surpasses the existing enable.
31. ENABLE STRENGTHENING
There are two types of strengthening methodologies based on the logic they are acquired.
Strong STC
In a gated flop, if the input is not changing for a period of time and the flop is still
clocking or toggling then we can find out a condition for causing input to be stable. We
can use this new logic to strengthen the existing enable.
Strong ODC
In a gated flop, if the output is not read for a period of time but the flop is still clocking,
we can find out the conditions for output not t be observed. Then we can enable the
existing enable with this new logic. This is known as strong ODC.
32. MEMORY POWER REDUCTION
Most off the digital systems are associated with memory systems.
There are different techniques for memory power reduction.
Remove redundant read
Remove redundant write
Memory as steering point for register power reduction
Light sleep power reduction
33. REDUNDANT READ REMOVAL
Any read access occurring when the memory output is not observable
is a redundant read and can be removed based on the ODC technique.
And also if the read address is stable then every read after the first
one is redundant, if no new address write is taken. This is based on the
STC techniques.
34. REDUNDANT WRITE REMOVAL
If the data and write addresses are stable, then ever write access after
the first one is redundant and can be removed
35. STATIC POWER REDUCTION
In the past few decades dynamic power is the major concern of design
engineers due to fastening the system clock and frequency.
But prevailing technology revolution with advanced fabrication
techniques with technologies such as photolithography, the device or
technology scaling is happening with an exponential growth.
Thus semiconductor devices scale down and leakages are becoming
paramount important for the overall power consumption.
Therefore VLSI power architecture predicts that static power (Leakage
Power) will become a dominant component of the power architecture
and most researches are carrying through to support that concept.
Power gating are effectively mitigating leakage losses and becomes a
major static power reduction technique.
37. POWER GATING
The basic strategy of power gating is to establish two power modes, Active
Mode, Low Power Mode and switch between these power modes where
necessary
Establishment of two power modes is a pragmatic remedy for accurate switch
between these modes at the appropriate time and in the appropriate manner to
maximize power saving while minimizing the impact on the performance
Therefore switching and controlling process is also complex
Due to power gating implementations there may be three modes of operations
Active Mode
Sleep (Low power mode)
Wake Up
39. Switch Sizing
Smaller Switches: Smaller area, large resistance and good
leakage reduction
Bigger Switches: Larger area, smaller resistance and
relatively low leakage reduction
40. Switch Placing Architecture
Switch in Cell: Switch transistor in each standard cell. Area overhead is a
disadvantage and physical design easiness of EDA is an advantage
41. Switch Placing Architecture
Grid of Switches: Switches placed in an array across the power gated block. 3
rails routed through the logic block (Power, GND and Virtual).
42. Switch Placing Architecture
Ring of Switches: Used primarily for legacy design where the physical design
of the block may not be disturbed.
43. Signal Isolation
Powering Down the region will not result in crowbar current in many inputs of
powered up blocks.
None of the floating outputs of the power-down block will result in spurious
behavior in the power-up blocks. Clams will add some delays to the
propagation paths.
44. POWER GATING MODES
Fine Grained Power Gating
Process of adding a sleep transistor to every cell is called a fine-grained
power gating
Coarse Grained Power Gating
Implementation of grid style sleep transistor, to stack of logic cell, which
drive cell locally through shared virtual power network, is known as coarse
grain power gating
Ring Based
Power gates (Switches) are places around the perimeter of the module that
is being switched off as a ring
Column Based
Power gates are inserted within the module with the cells abutted to each
other in the form of columns
45. CONTROLLING MECHANISM
Non-State Preserving Power Gating
Cut-off (CO)
Multi-Threshold (MTCMOS
Boosted-Gate (BGMOS)
Super Cut-off (SCCMOS)
State Preserving Power Gating
Variable Threshold (VTMOS)
Zigzag Cut Off (ZZCO)
Zero Delay Ripple Turn On (ZZRTO)
State Preserving use some retention registers to store states.
46. State Retention Techniques
When power gating taking place we have to retain some
critical state content (FSM State)
Software Based Register Read and Write
Scan Based approach based on using scan chains to
store state off chip
Retention Registers
47. Retention Registers
When power gating taking place we have to retain some critical register
content (FSM State).
Saving and restoring state quickly and efficiently is the faster and power
efficient method to get the block fully functional after power up.
There can be various methods for state retention.
DSP Unit: data flow driven DSP unit can start from reset on new
data input.
Cache Processor: This mechanism is good for large residual state
retention.
48. SYNCHRONOUS & ASYNCRONOUS LOGIC
POWER GATING
Clock gating for dynamic power reduction which reduce the power
consumption of idle section of synchronous circuits
Asynchronous circuits has a inherent strength of data driven capability
and active while performing useful tasks
Asynchronous circuits implement the equivalent of a fine grain power
gating network
Power gating can be efficiently implemented in Pipelined flows
49. EDA
Power
Gating
Designp power gating library cells
Determine which blocks to power gate
Determine state retention mechanism
Determine Rush Current Control Scheme
Design power gating controller
Power gating aware synthesis
Determine floor plan
power gating aware placement
clock tree synthesis
Route
Verify virtual rail electrical charateristics
verify timing
50. POWER VERIFICATION
Power verification process in the EDA is consisting of the steps of
analyzing, monitoring and validating power rules related to EDA tool.
Each and every power estimation and reduction rule and algorithms
should be test and check against digital cores.
It is essential to have verification process in the EDA development cycle
e to ensure that the software infrastructure is working properly for
electronic prototyping.
Perl, C++ is used to write scripts
HDL (Verilog and Vhdl) is used to generate test cases
51. VERIFICATION OF POWER MONITORS
Power monitors where all mathematics and physics
come to engineering
A and B nets have simulation data
C does not have a simulation data
A
B
C
AND
A
B
C
52. POWER MONITORS
According to the mathematical formulas,
If A, B are two independent event,
If those events are independent
In the Above example,
But in actual scenario
53. POWER MONITOR
Some Correlative or Partitioning approach need
Power Monitor Solution
Divide the simulation time into the fastest clock slots. And find the
probability for each and every portion and integrate them together.
A
B
Slot
54. FUTURE TRENDS OF POWER & EDA
Physical Aware Power Reduction and Fix
Leakage Reduction with advanced power gating
Asynchronous Pipelined micro-architecture
Neural Network Approach for Design Automation
Neuro-Synaptic Computing
55. THANK YOU
For more information visit
https://www.researchgate.net/publication/274713218_VLSI_Power_In_a_Nutshell
http://www.slideshare.net/MaheshDananjaya