SlideShare a Scribd company logo
IOSR Journal of VLSI and Signal Processing (IOSR-JVSP)
Volume 4, Issue 2, Ver. IV (Mar-Apr. 2014), PP 17-21
e-ISSN: 2319 – 4200, p-ISSN No. : 2319 – 4197
www.iosrjournals.org
www.iosrjournals.org 17 | Page
A Literature Review on Design Strategies and Methodologies of
Low Power VLSI Circuits
Anuj1
, Divya Khanna2
1
(VLSI,Cetpa Infotech pvt. Ltd, Noida, India)
2
(Electronics and communication Department, Amity University,Noida, India)
Abstract: Low power came in to limelight in the current generation of electronic design. Earlier area and
performance and cost were the priority of design engineers overlooking power. However trade off
exist between area, performance and power. Overall performance of the circuit is affected by its
components. Optimization of the design is accomplished by compromising design issues and
components. With the shrinking technology below 90 nm power dissipation and its management has
been critical for designer .Importance for optimization has been drawn from extended battery life and
lowering package cost. This paper presents a literature review upon the strategies and methodologies
in designing low power VLSI circuits.
Keywords: VLSI circuits, Low power management, Low power strategies, power dissipation, Power
optimization.
I. Introduction
Digital circuits simplify transistor operation that allows devices to be conceived as switches. Advent of
Vacuum tube created a huge impact on electronics industry but had certain hindrances like high power and
hundreds of anode voltage. The invention of transistor was a revolutionary step in microelectronics industry
consuming few watts of power. This was the foundation stone for low power devices.The integration of
numerous functions into a single chip and improvement in the performance of the circuits has led to shrinkage
of feature size and resulted in the growth of power per unit area that in turn accompanied a requirement of heat
removal and cooling system. Low power is now a principal them in VLSI domain. Three most important factors
now days to be optimized are area, power and performance. In the past area, reliability, cost and performance
was given utmost importance and power reduction was negligible. Requirement for low power has been
increased with remarkable growth in battery powered, complex functional device like PC, wearable devices,
mobile phones, implantable medical instruments, multimedia portable devices that demand low power
consumption and high speed computation. High power system exacerbates numerous silicon failures due to
operation in high temperature.
Rise in 10o
C temperature component failure rate doubles. Some of the key design issues in the VLSI
industry are thermal and electrical limits determination, impact cost, size, weight, battery size, components, heat
sink and system packaging. Excessive power consumption is circumscribing factor in integrating more transistor
on a single chip. With lesser power dissipation, less amount of heat is produced in the room, lower is the
consumption of electricity and lesser requirement of heat removal equipment and thus impact on global
environment is trimmed helping in saving environment. Low power strategies are application specific. Goal of
micro powered, battery operated gadgets like cell phones, laptops is to increase the battery life, decreasing
weight and cutting off packaging cost. Plastic packaging is used for the circuits with power level of 1-2W. The
goal of battery powered, high performance system alike tablets and laptops is reduction in power dissipation to
half of total power consumption. For high performance, non battery operated devices achievement of reduced
power dissipation with the maintenance of reliability is an objective.
The paper is organized in the following manner. Section II explains major source of power dissipation.
Low power design space has been detailed in the section III. Section IV elaborates power minimization
techniques while section V and section VI explains CAD methodologies and power management strategies
respectively. The paper concludes in section VII.
II. Sources of Power dissipation
Power dissipation is the amount of power that is converted into heat and radiated away from the
electrical system. Measurement of power dissipation is in watts. Three major sources of power dissipation in
CMOS circuit are:
A Literature Review on Design Strategies and Methodologies of Low Power VLSI Circuits
www.iosrjournals.org 18 | Page
i) Leakage current: It happens when input(s) and output(s) are stable i.e. not changing.
ii) Short circuit current: It occurs when N-MOS and P-MOS of a CMOS circuit conduct simultaneously
allowing current to flow directly from source to ground.
iii) Logic transitions: Nodes in a digital CMOS circuits oscillates between two logic levels („0‟ and „1‟) that
in turn charges and discharges the capacitance. This charging and discharging causes the Current to flow
from channel resistances of the transistor and phenomenon of power dissipation comes into the picture.
Leakage current fall under the category of static power dissipation while short circuit current and logic
transitions are categorized under dynamic power dissipation. Leakage current depends on fabrication technology
that includes reverse bias current and subthreshold current in parasitic diodes. The formation of the reverse bias
current takes place between drain, source and bulk region in MOS transistors while subthreshold current arises
from the inversion charge existing at gate voltage below threshold voltage. If feature size is 1 micrometer then a
diode leakage of 1 picoA takes places.
If a dc path is formed between supply rails and ground during input and output transition then short
circuit current takes places. Short circuit current is referred to as crow bar current. For an inverter gate, crow bar
current is proportional to gain of inverter gate, supply voltage cubic power, subthreshold voltage, operating
frequency and as well as on input rise/fall time. During logic transitions capacitive loads are charged and
discharged and thus causes power dissipation. In case of absence of load maximum short circuit current is
observed that decreases as load is increased.
Short circuit power consumption is less than 15% of dynamic power consumption if rise and fall time of input(s)
and output(s) are equivalent. Exploitation of appropriate circuit and device designing techniques can endeavor in
bogging down the short circuit and leakage current. However charging and discharging of load capacitance
dominates power consumption and is given by equation 1:
P = 0.5CVdd
2
E(sw) fclk (1)
Where C is the physical capacitance of the circuit, Vdd is the power supply, fclkis the clock frequency and E(sw)
is the switching activity that describes average number of transitions per 1/ fclk period. Total power is given in
the equation 2 as:
Ptotal = Pdynamic+ Pstatic + Pshortcircuit (2)
III. Low Power Design Space
Low power can be accomplished by reducing one of the following factors:
1. Voltage: One of the best method for reduction of power in the circuits. Voltage and power relationship
is described by following equation
P = V2
R
If V is reduced then power is also reduced. Now consider V as V then a power reduction of one
2
fourth is Observed. Its effect is globally on the circuit. Designers often sacrifice increased physical
capacitance and data activity for reduced voltage. Although this design space have few disadvantages
of lower speed and increased delay as Vdd approaches Vt.
2. Physical capacitance: Dynamic power dissipation is dependent on switching of physical capacitance.
Determination of physical capacitance is arduous task before routing and mapping. Thus with complete
information about placement, routing and mapping precision of estimation of capacitance is high. With
lesser logic, shorter wires and smaller devices capacitance can be curtailed. An important factor while
designing a circuit is interconnects capacitance. Interconnects affect chip area, power dissipation and
delay thus during design processing interconnects shall be estimated. Calculation of interconnect
capacitance is becomes easy after layout designing. Register sharing, extraction of common sub-
functions and information about placement and routing helps in reducing interconnect capacitance.
3. Logic transitions: Logic transitions or switching activity influences dynamic power dissipation. In the
absence of switching activity power dissipation is zero even on a chip having large number of
capacitance. Logic transitions determines switching that has two components namely fclkand E(sw). fclk
estimates the average period of data arrival while E(sw) determines number of transition each arrival
generate.
IV. Power Minimization technique
i) Reducing chip area and capacitances with techniques such as SOI (Silicon on insulator) with partially
or fully depleted wells or by scaling CMOS to submicron device size. It is an efficient technique but
financially too expensive.
A Literature Review on Design Strategies and Methodologies of Low Power VLSI Circuits
www.iosrjournals.org 19 | Page
ii) Advanced interconnect substrates like multi chip module MCM. It is highly efficient technique but cost
heavily.
iii) Supply voltage scaling: It requires new fabrication technique and support circuits such as DC/DC
converters and level converters for operation low voltage environment. It is a cheap technique but handling
of signal to noise ratio is critical.
iv) Better design technique: Investment for the reduction of power by employing a better design technique
is small and has a very high potential.
v) Appropriate power management strategies.
V. CAD Methodologies
System Level
Circuit level design Logic synthesis
Physical design level
Figure1. Different CAD Methodologies
EDA tools bolster power savings during implementation. Four level of abstraction are logic synthesis level,
system level, physical design level and circuit level. This is achieved at above various level of abstraction.
3.1 System level :
At system level design, the hardware modules that are inactive is turned off automatically in order to
husband power. A designer can provide minimum supply voltages to modules and implant them with
level converters. Thus utilization of this technique will cycle back the energy to save power.
3.2 Logic synthesis:
This level of abstraction fits between register transfer level and netlist of gate specification. Various
techniques are employed for the transformation and optimization of RTL description depending upon
input target implementation, delay model and objective functions. After the system level, architectural
implementation and technological choices are made the switched capacitance of logic determines the
power consumption.
3.3 Physical design level:
It fits between netlist gate specification and layout that depends on target design technology, packaging
technology and objective functions. Numerous optimization technologies are used for partition, place,
resize and route gate. Under zero delay model switching activity of gate is constant during layout
optimization therefore to reduce the power decrease the load on high switching activity gate by
appropriate netlist portioning, gate placement, gate and wire resizing.
3.4 Circuit level design:
This utilizes adiabatic switching principle where speed is traded for low power. Other techniques that
are employed are based on self timed circuits and are based on partial transfer of energy stored on a
capacitance to a charge sharing capacitance. DC/DC level converters and energy efficient level
converters are required for circuit level design power reduction.
VI. Power Management strategies
Power management strategies play a key role in lowering the power dissipation in digital circuits. Some of
the strategies that are discussed in this paper for power reduction are multiple threshold voltage, clock
gating, multiple supply voltage, power gating, dynamic voltage scaling and substrate biasing.
6.1 Multiple threshold voltage:
Threshold voltage is an important factor in the reducing of power. This method assist in reducing both
dynamic and leakage power. Using different threshold voltage according to the mode of operation the goal is
A Literature Review on Design Strategies and Methodologies of Low Power VLSI Circuits
www.iosrjournals.org 20 | Page
easily achieved. For leakage power reduction implantation of high threshold voltage is useful. During active
mode of operation low threshold voltage is employed for accomplishing low power with high performance. As
threshold voltage increases, subthreshold current starts coming down with an increase in propagation delay of
the gate. Thus leading to little penalties on speed and area. This is a very useful strategy for reduction of
glitches power.
6.2 Multiple supply voltage:
In this technology lower supply voltages and high supply voltage are implanted according to the modes
of operation. Non critical path are employed with low supply voltage and higher supply voltage is used for
critical paths. Higher supply voltage with critical path helps in attaining performance while lower supply voltage
in non critical path helps in accomplishing lower power dissipation. Each tier in a chip is parted into many grids.
In these grids higher and lower voltages are fabricated depending upon operational mode. Multiple supply
voltage is used in digital signal processors. It helps in achieving latency constraints in critical path. Some of the
disadvantages with it are isolation requirement, little increment in the area and difficulty in testing.
6.3 Power gating:
In power gating methodology a sleep transistor is introduced between actual ground and virtual ground
when device is turned off in sleep mode in order to cut off leakage path. This technique cut short leakage power
without impacting performance. Two types of power gating techniques are fine graining and coarse graining.
Design architecture is more than clock gating technique. The disadvantage of this strategy is increased area and
delay. Better power efficiency is an advantage of this technique.
6.4 Body biasing:
Body biasing decreases power dissipation by enhancing threshold voltage of individual transistor
thereby curtailing leakage current. Two most commonly used techniques are Swapped body biasing (SBB) and
dynamic threshold voltage MOS biasing scheme. In SBB propagation delay is short. This technique tends to
propose slight penalty on delay and area. RFID, biomedical devices and sensor networks are some example
where body biasing is employed.
6.5 Dynamic voltage and frequency scaling:
Most commonly used power management strategy. In this technique clock frequency is reduced
causing a reduction in supply voltage. It has the ability to reduce power consumption of CMOS IC like modern
computers and laptops.
P= cfv2
+ Pstatic (3)
Voltage required depends upon the frequency at which it is clocked and thus if frequency is trimmed then
voltage can be reduced. By this technique 34% of power is saved. The reduction in frequency increases speed,
thus a biggest advantage of this technique. It also offers high performance. It is employed for microprocessors,
multimedia interface system and battery powered electronic devices.
6.6 Clock gating:
This technique reduces clock signal resulting in switching power reduction of flip flop. As feature size
had shrink clock frequency of IC has increased thus power consumption takes place. Power dissipation is
highest due to clock net as it has higher switching activity. In clock gating technique clock is stopped in parts of
the circuits. Clock gating occupies large chip area. Some clock gating techniques are AND gate. NOR gate,
Latched AND and latched NOR clock gating.
Table 1. Showing comparison between various power management techniques
S.no Power Management techniques
Power reduction technique Power saving Delay
Penalty
Area
1 Multiple Threshold voltage Medium Little Medium
2 Multiple supply voltage High Medium Medium
3 Power gating High Small Little
4 Body biasing Medium Small Little
5 Dynamic voltage and frequency scaling High Small Medium
6 Clock gating High Medium Large
A Literature Review on Design Strategies and Methodologies of Low Power VLSI Circuits
www.iosrjournals.org 21 | Page
VII. Conclusion
In this paper various strategies and methodologies for reduction in power has been discussed. This
paper has successfully reviewed the CAD methods for power optimization keeping pace with area, delay and
performance. This works elaborated the need for low power VLSI circuits and suggested various design
techniques currently in practice in microelectronics industry. This paper will help the designers to understand
the basics of low power. The major design issues were briefly explained and presented for better clarity to
anyone looking to grasp good knowledge about the subject.
Acknowledgment
First author Anuj expresses deep sense of gratitude towards his late grandparents for the shower of
blessings. He would take this opportunity to kind heartedly thanks his Mother and Father Mrs Neeta and Mr
Avinash Chander for their continuous encouragement and help. Further he is thankful to his Sister Swati
Misra and brother- in-law Ashish Misra for being such a great support to him. Without these people
accomplishment of this paper would have been a dream.
Second author Divya Khanna extends gratitude to her grandmother, Smt. Sarla Khanna, Father, Shri
Ramesh Khanna, Mother Mrs. Vandana Khanna and brother Amit Khanna who never ceased in helping
until the paper was structured.
References
Journal Papers:
[1] Zamin Ali Khana ,S. M. AqilBurneyb, , Jawed Naseemc, KashifRizwand, “Optimization of Power Consumption in VLSI Circuit”
IJCSI International Journal of Computer Science Issues, Vol. 8, Issue 2, March 2011
[2] KanikaKaur, Arti Noor, “STRATEGIES & METHODOLOGIES FOR LOW POWER VLSI DESIGNS: A REVIEW” ,International
Journal of Advances in Engineering & Technology, May 2011.
[3] Dr. Neelam R, Prakash, Akash, “Clock Gating for Dynamic Power Reduction in Synchronous Circuits” ,International Journal of
Engineering Trends and Technology (IJETT) – Volume4Issue5- May 2013.
[4] BagadiMadhavi, G Kanchana, VenkateshSeerapu, “Low Power and Area Efficient Design of VLSI Circuits” ,International Journal
of Scientific and Research Publications, Volume 3, Issue 4, April 2013
[5] Sherif A. Tawfik and VolkanKursun,“Low Power and High Speed Multi Threshold Voltage Interface Circuits”,IEEE
TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS
[6] Shih-An Yu Pei-Yu Huang Yu-Min Lee, “A Multiple Supply Voltage Based Power Reduction Method in 3-D Ics Considering
Process Variations and Thermal Effects”
[7] Velicheti Swetha1, S Rajeswari, “Design and Power Optimization of MT- CMOS circuits using Power Gating Techniques”,
International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering (An ISO 3297: 2007
Certified Organization) Vol. 2, Issue 8, August 2013
[8] JabulaniNyathi, Brent Bero and Ryan McKinlay, “A Tunable Body Biasing Scheme for Ultra-Low Power and High Speed CMOS
Designs”
[9] Manoj Kumar 1, Sandeep K. Arya 2, and Sujata Pandey , “Low power CMOS full adder design with body biasing approach”,
journal integrated circuits and system, 2011.
[10] Etienne Le Sueur and GernotHeiser ,“Dynamic Voltage and Frequency Scaling: The Laws of Diminishing Returns”
Books:
[11] Kaushik Roy, Sharat C. Prasad, “Low power CMOS VLSI circuit design” (Wiley India pvt. Ltd., 2013)
Authors Profile
Anuj received degree of B.Tech(Electronics and communication) from Maharishi Dayanand
University in the year 2013. He is enthusiastic to work in the field of VLSI. His area of interest
includes digital system design using CAD tools and Low power VLSI domain in addition to
analog and mixed signal circuit design. He is also interested in Circuit design in presence of
device variability and design of adaptive VLSI circuits.
Divya Khanna received degree of B.Tech(Electronics and communication) from Uttar Pradesh
technical university in 2012.Currently she is pursuing M.Tech from Amity University, Noida,
India. Her interest areas are Electronic Design Automation (EDA) of digital and analog circuits,
as well as VLSI design. Apart from that low power, reliability, testing, simulation, design for
manufacturability, hardware/software co-design, application specific integrated circuits (ASICs),
and System-on-Silicon (SOC) areas also attracts her.

More Related Content

Similar to A Literature Review On Design Strategies And Methodologies Of Low Power VLSI Circuits

Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
Sri Manakula Vinayagar Engineering College
 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
Anil Yadav
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
VIT-AP University
 
Hx3313651367
Hx3313651367Hx3313651367
Hx3313651367
IJERA Editor
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
Solid state transformer
Solid state transformerSolid state transformer
Solid state transformer
vivatechijri
 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise Reduction
IJERA Editor
 
Bl34395398
Bl34395398Bl34395398
Bl34395398
IJERA Editor
 
Design of Multiplier using Low Power CMOS Technology
Design of Multiplier using Low Power CMOS TechnologyDesign of Multiplier using Low Power CMOS Technology
Design of Multiplier using Low Power CMOS Technology
Associate Professor in VSB Coimbatore
 
Bc36330333
Bc36330333Bc36330333
Bc36330333
IJERA Editor
 
Low Power Adiabatic Logic Design
Low Power Adiabatic Logic DesignLow Power Adiabatic Logic Design
Low Power Adiabatic Logic Design
IOSRJECE
 
Br044426429
Br044426429Br044426429
Br044426429
IJERA Editor
 
A Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS CircuitsA Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS Circuits
ijtsrd
 
A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...
ijsrd.com
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
IRJET Journal
 
Low power 6 transistor latch design for portable devices
Low power 6 transistor latch design for portable devicesLow power 6 transistor latch design for portable devices
Low power 6 transistor latch design for portable devices
Alexander Decker
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
SUNODH GARLAPATI
 

Similar to A Literature Review On Design Strategies And Methodologies Of Low Power VLSI Circuits (20)

Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
 
55
5555
55
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
 
Hx3313651367
Hx3313651367Hx3313651367
Hx3313651367
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
Solid state transformer
Solid state transformerSolid state transformer
Solid state transformer
 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise Reduction
 
Bl34395398
Bl34395398Bl34395398
Bl34395398
 
Design of Multiplier using Low Power CMOS Technology
Design of Multiplier using Low Power CMOS TechnologyDesign of Multiplier using Low Power CMOS Technology
Design of Multiplier using Low Power CMOS Technology
 
Bc36330333
Bc36330333Bc36330333
Bc36330333
 
Low Power Adiabatic Logic Design
Low Power Adiabatic Logic DesignLow Power Adiabatic Logic Design
Low Power Adiabatic Logic Design
 
Br044426429
Br044426429Br044426429
Br044426429
 
A Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS CircuitsA Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS Circuits
 
A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
 
Low power 6 transistor latch design for portable devices
Low power 6 transistor latch design for portable devicesLow power 6 transistor latch design for portable devices
Low power 6 transistor latch design for portable devices
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
 

More from Nathan Mathis

Page Borders Design, Border Design, Baby Clip Art, Fre
Page Borders Design, Border Design, Baby Clip Art, FrePage Borders Design, Border Design, Baby Clip Art, Fre
Page Borders Design, Border Design, Baby Clip Art, Fre
Nathan Mathis
 
How To Write Your Essays In Less Minutes Using This Website Doy News
How To Write Your Essays In Less Minutes Using This Website Doy NewsHow To Write Your Essays In Less Minutes Using This Website Doy News
How To Write Your Essays In Less Minutes Using This Website Doy News
Nathan Mathis
 
Lined Paper For Beginning Writers Writing Paper Prin
Lined Paper For Beginning Writers Writing Paper PrinLined Paper For Beginning Writers Writing Paper Prin
Lined Paper For Beginning Writers Writing Paper Prin
Nathan Mathis
 
Term Paper Example Telegraph
Term Paper Example TelegraphTerm Paper Example Telegraph
Term Paper Example Telegraph
Nathan Mathis
 
Unusual How To Start Off A Compare And Contrast Essay
Unusual How To Start Off A Compare And Contrast EssayUnusual How To Start Off A Compare And Contrast Essay
Unusual How To Start Off A Compare And Contrast Essay
Nathan Mathis
 
How To Write A Methodology Essay, Essay Writer, Essa
How To Write A Methodology Essay, Essay Writer, EssaHow To Write A Methodology Essay, Essay Writer, Essa
How To Write A Methodology Essay, Essay Writer, Essa
Nathan Mathis
 
Recolectar 144 Imagem Educational Background Ex
Recolectar 144 Imagem Educational Background ExRecolectar 144 Imagem Educational Background Ex
Recolectar 144 Imagem Educational Background Ex
Nathan Mathis
 
Microsoft Word Lined Paper Template
Microsoft Word Lined Paper TemplateMicrosoft Word Lined Paper Template
Microsoft Word Lined Paper Template
Nathan Mathis
 
Owl Writing Paper
Owl Writing PaperOwl Writing Paper
Owl Writing Paper
Nathan Mathis
 
The Essay Writing Process Essays
The Essay Writing Process EssaysThe Essay Writing Process Essays
The Essay Writing Process Essays
Nathan Mathis
 
How To Make A Cover Page For Assignment Guide - As
How To Make A Cover Page For Assignment Guide - AsHow To Make A Cover Page For Assignment Guide - As
How To Make A Cover Page For Assignment Guide - As
Nathan Mathis
 
Awesome Creative Writing Essays Thatsnotus
Awesome Creative Writing Essays ThatsnotusAwesome Creative Writing Essays Thatsnotus
Awesome Creative Writing Essays Thatsnotus
Nathan Mathis
 
Sites That Write Papers For You. Websites That Write Essays For You
Sites That Write Papers For You. Websites That Write Essays For YouSites That Write Papers For You. Websites That Write Essays For You
Sites That Write Papers For You. Websites That Write Essays For You
Nathan Mathis
 
4.4 How To Organize And Arrange - Hu
4.4 How To Organize And Arrange - Hu4.4 How To Organize And Arrange - Hu
4.4 How To Organize And Arrange - Hu
Nathan Mathis
 
Essay Written In First Person
Essay Written In First PersonEssay Written In First Person
Essay Written In First Person
Nathan Mathis
 
My Purpose In Life Free Essay Example
My Purpose In Life Free Essay ExampleMy Purpose In Life Free Essay Example
My Purpose In Life Free Essay Example
Nathan Mathis
 
The Structure Of An Outline For A Research Paper, Including Text
The Structure Of An Outline For A Research Paper, Including TextThe Structure Of An Outline For A Research Paper, Including Text
The Structure Of An Outline For A Research Paper, Including Text
Nathan Mathis
 
What Are Some Topics For Exemplification Essays - Quora
What Are Some Topics For Exemplification Essays - QuoraWhat Are Some Topics For Exemplification Essays - Quora
What Are Some Topics For Exemplification Essays - Quora
Nathan Mathis
 
Please Comment, Like, Or Re-Pin For Later Bibliogra
Please Comment, Like, Or Re-Pin For Later BibliograPlease Comment, Like, Or Re-Pin For Later Bibliogra
Please Comment, Like, Or Re-Pin For Later Bibliogra
Nathan Mathis
 
Ide Populer Word In English, Top
Ide Populer Word In English, TopIde Populer Word In English, Top
Ide Populer Word In English, Top
Nathan Mathis
 

More from Nathan Mathis (20)

Page Borders Design, Border Design, Baby Clip Art, Fre
Page Borders Design, Border Design, Baby Clip Art, FrePage Borders Design, Border Design, Baby Clip Art, Fre
Page Borders Design, Border Design, Baby Clip Art, Fre
 
How To Write Your Essays In Less Minutes Using This Website Doy News
How To Write Your Essays In Less Minutes Using This Website Doy NewsHow To Write Your Essays In Less Minutes Using This Website Doy News
How To Write Your Essays In Less Minutes Using This Website Doy News
 
Lined Paper For Beginning Writers Writing Paper Prin
Lined Paper For Beginning Writers Writing Paper PrinLined Paper For Beginning Writers Writing Paper Prin
Lined Paper For Beginning Writers Writing Paper Prin
 
Term Paper Example Telegraph
Term Paper Example TelegraphTerm Paper Example Telegraph
Term Paper Example Telegraph
 
Unusual How To Start Off A Compare And Contrast Essay
Unusual How To Start Off A Compare And Contrast EssayUnusual How To Start Off A Compare And Contrast Essay
Unusual How To Start Off A Compare And Contrast Essay
 
How To Write A Methodology Essay, Essay Writer, Essa
How To Write A Methodology Essay, Essay Writer, EssaHow To Write A Methodology Essay, Essay Writer, Essa
How To Write A Methodology Essay, Essay Writer, Essa
 
Recolectar 144 Imagem Educational Background Ex
Recolectar 144 Imagem Educational Background ExRecolectar 144 Imagem Educational Background Ex
Recolectar 144 Imagem Educational Background Ex
 
Microsoft Word Lined Paper Template
Microsoft Word Lined Paper TemplateMicrosoft Word Lined Paper Template
Microsoft Word Lined Paper Template
 
Owl Writing Paper
Owl Writing PaperOwl Writing Paper
Owl Writing Paper
 
The Essay Writing Process Essays
The Essay Writing Process EssaysThe Essay Writing Process Essays
The Essay Writing Process Essays
 
How To Make A Cover Page For Assignment Guide - As
How To Make A Cover Page For Assignment Guide - AsHow To Make A Cover Page For Assignment Guide - As
How To Make A Cover Page For Assignment Guide - As
 
Awesome Creative Writing Essays Thatsnotus
Awesome Creative Writing Essays ThatsnotusAwesome Creative Writing Essays Thatsnotus
Awesome Creative Writing Essays Thatsnotus
 
Sites That Write Papers For You. Websites That Write Essays For You
Sites That Write Papers For You. Websites That Write Essays For YouSites That Write Papers For You. Websites That Write Essays For You
Sites That Write Papers For You. Websites That Write Essays For You
 
4.4 How To Organize And Arrange - Hu
4.4 How To Organize And Arrange - Hu4.4 How To Organize And Arrange - Hu
4.4 How To Organize And Arrange - Hu
 
Essay Written In First Person
Essay Written In First PersonEssay Written In First Person
Essay Written In First Person
 
My Purpose In Life Free Essay Example
My Purpose In Life Free Essay ExampleMy Purpose In Life Free Essay Example
My Purpose In Life Free Essay Example
 
The Structure Of An Outline For A Research Paper, Including Text
The Structure Of An Outline For A Research Paper, Including TextThe Structure Of An Outline For A Research Paper, Including Text
The Structure Of An Outline For A Research Paper, Including Text
 
What Are Some Topics For Exemplification Essays - Quora
What Are Some Topics For Exemplification Essays - QuoraWhat Are Some Topics For Exemplification Essays - Quora
What Are Some Topics For Exemplification Essays - Quora
 
Please Comment, Like, Or Re-Pin For Later Bibliogra
Please Comment, Like, Or Re-Pin For Later BibliograPlease Comment, Like, Or Re-Pin For Later Bibliogra
Please Comment, Like, Or Re-Pin For Later Bibliogra
 
Ide Populer Word In English, Top
Ide Populer Word In English, TopIde Populer Word In English, Top
Ide Populer Word In English, Top
 

Recently uploaded

Overview on Edible Vaccine: Pros & Cons with Mechanism
Overview on Edible Vaccine: Pros & Cons with MechanismOverview on Edible Vaccine: Pros & Cons with Mechanism
Overview on Edible Vaccine: Pros & Cons with Mechanism
DeeptiGupta154
 
Template Jadual Bertugas Kelas (Boleh Edit)
Template Jadual Bertugas Kelas (Boleh Edit)Template Jadual Bertugas Kelas (Boleh Edit)
Template Jadual Bertugas Kelas (Boleh Edit)
rosedainty
 
Unit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdfUnit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdf
Thiyagu K
 
MARUTI SUZUKI- A Successful Joint Venture in India.pptx
MARUTI SUZUKI- A Successful Joint Venture in India.pptxMARUTI SUZUKI- A Successful Joint Venture in India.pptx
MARUTI SUZUKI- A Successful Joint Venture in India.pptx
bennyroshan06
 
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
siemaillard
 
PART A. Introduction to Costumer Service
PART A. Introduction to Costumer ServicePART A. Introduction to Costumer Service
PART A. Introduction to Costumer Service
PedroFerreira53928
 
Supporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptxSupporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptx
Jisc
 
Home assignment II on Spectroscopy 2024 Answers.pdf
Home assignment II on Spectroscopy 2024 Answers.pdfHome assignment II on Spectroscopy 2024 Answers.pdf
Home assignment II on Spectroscopy 2024 Answers.pdf
Tamralipta Mahavidyalaya
 
ESC Beyond Borders _From EU to You_ InfoPack general.pdf
ESC Beyond Borders _From EU to You_ InfoPack general.pdfESC Beyond Borders _From EU to You_ InfoPack general.pdf
ESC Beyond Borders _From EU to You_ InfoPack general.pdf
Fundacja Rozwoju Społeczeństwa Przedsiębiorczego
 
Sha'Carri Richardson Presentation 202345
Sha'Carri Richardson Presentation 202345Sha'Carri Richardson Presentation 202345
Sha'Carri Richardson Presentation 202345
beazzy04
 
How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...
Jisc
 
The Roman Empire A Historical Colossus.pdf
The Roman Empire A Historical Colossus.pdfThe Roman Empire A Historical Colossus.pdf
The Roman Empire A Historical Colossus.pdf
kaushalkr1407
 
Language Across the Curriculm LAC B.Ed.
Language Across the  Curriculm LAC B.Ed.Language Across the  Curriculm LAC B.Ed.
Language Across the Curriculm LAC B.Ed.
Atul Kumar Singh
 
Operation Blue Star - Saka Neela Tara
Operation Blue Star   -  Saka Neela TaraOperation Blue Star   -  Saka Neela Tara
Operation Blue Star - Saka Neela Tara
Balvir Singh
 
Model Attribute Check Company Auto Property
Model Attribute  Check Company Auto PropertyModel Attribute  Check Company Auto Property
Model Attribute Check Company Auto Property
Celine George
 
Instructions for Submissions thorugh G- Classroom.pptx
Instructions for Submissions thorugh G- Classroom.pptxInstructions for Submissions thorugh G- Classroom.pptx
Instructions for Submissions thorugh G- Classroom.pptx
Jheel Barad
 
The approach at University of Liverpool.pptx
The approach at University of Liverpool.pptxThe approach at University of Liverpool.pptx
The approach at University of Liverpool.pptx
Jisc
 
The Art Pastor's Guide to Sabbath | Steve Thomason
The Art Pastor's Guide to Sabbath | Steve ThomasonThe Art Pastor's Guide to Sabbath | Steve Thomason
The Art Pastor's Guide to Sabbath | Steve Thomason
Steve Thomason
 
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
siemaillard
 
The French Revolution Class 9 Study Material pdf free download
The French Revolution Class 9 Study Material pdf free downloadThe French Revolution Class 9 Study Material pdf free download
The French Revolution Class 9 Study Material pdf free download
Vivekanand Anglo Vedic Academy
 

Recently uploaded (20)

Overview on Edible Vaccine: Pros & Cons with Mechanism
Overview on Edible Vaccine: Pros & Cons with MechanismOverview on Edible Vaccine: Pros & Cons with Mechanism
Overview on Edible Vaccine: Pros & Cons with Mechanism
 
Template Jadual Bertugas Kelas (Boleh Edit)
Template Jadual Bertugas Kelas (Boleh Edit)Template Jadual Bertugas Kelas (Boleh Edit)
Template Jadual Bertugas Kelas (Boleh Edit)
 
Unit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdfUnit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdf
 
MARUTI SUZUKI- A Successful Joint Venture in India.pptx
MARUTI SUZUKI- A Successful Joint Venture in India.pptxMARUTI SUZUKI- A Successful Joint Venture in India.pptx
MARUTI SUZUKI- A Successful Joint Venture in India.pptx
 
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
 
PART A. Introduction to Costumer Service
PART A. Introduction to Costumer ServicePART A. Introduction to Costumer Service
PART A. Introduction to Costumer Service
 
Supporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptxSupporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptx
 
Home assignment II on Spectroscopy 2024 Answers.pdf
Home assignment II on Spectroscopy 2024 Answers.pdfHome assignment II on Spectroscopy 2024 Answers.pdf
Home assignment II on Spectroscopy 2024 Answers.pdf
 
ESC Beyond Borders _From EU to You_ InfoPack general.pdf
ESC Beyond Borders _From EU to You_ InfoPack general.pdfESC Beyond Borders _From EU to You_ InfoPack general.pdf
ESC Beyond Borders _From EU to You_ InfoPack general.pdf
 
Sha'Carri Richardson Presentation 202345
Sha'Carri Richardson Presentation 202345Sha'Carri Richardson Presentation 202345
Sha'Carri Richardson Presentation 202345
 
How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...
 
The Roman Empire A Historical Colossus.pdf
The Roman Empire A Historical Colossus.pdfThe Roman Empire A Historical Colossus.pdf
The Roman Empire A Historical Colossus.pdf
 
Language Across the Curriculm LAC B.Ed.
Language Across the  Curriculm LAC B.Ed.Language Across the  Curriculm LAC B.Ed.
Language Across the Curriculm LAC B.Ed.
 
Operation Blue Star - Saka Neela Tara
Operation Blue Star   -  Saka Neela TaraOperation Blue Star   -  Saka Neela Tara
Operation Blue Star - Saka Neela Tara
 
Model Attribute Check Company Auto Property
Model Attribute  Check Company Auto PropertyModel Attribute  Check Company Auto Property
Model Attribute Check Company Auto Property
 
Instructions for Submissions thorugh G- Classroom.pptx
Instructions for Submissions thorugh G- Classroom.pptxInstructions for Submissions thorugh G- Classroom.pptx
Instructions for Submissions thorugh G- Classroom.pptx
 
The approach at University of Liverpool.pptx
The approach at University of Liverpool.pptxThe approach at University of Liverpool.pptx
The approach at University of Liverpool.pptx
 
The Art Pastor's Guide to Sabbath | Steve Thomason
The Art Pastor's Guide to Sabbath | Steve ThomasonThe Art Pastor's Guide to Sabbath | Steve Thomason
The Art Pastor's Guide to Sabbath | Steve Thomason
 
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
 
The French Revolution Class 9 Study Material pdf free download
The French Revolution Class 9 Study Material pdf free downloadThe French Revolution Class 9 Study Material pdf free download
The French Revolution Class 9 Study Material pdf free download
 

A Literature Review On Design Strategies And Methodologies Of Low Power VLSI Circuits

  • 1. IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. IV (Mar-Apr. 2014), PP 17-21 e-ISSN: 2319 – 4200, p-ISSN No. : 2319 – 4197 www.iosrjournals.org www.iosrjournals.org 17 | Page A Literature Review on Design Strategies and Methodologies of Low Power VLSI Circuits Anuj1 , Divya Khanna2 1 (VLSI,Cetpa Infotech pvt. Ltd, Noida, India) 2 (Electronics and communication Department, Amity University,Noida, India) Abstract: Low power came in to limelight in the current generation of electronic design. Earlier area and performance and cost were the priority of design engineers overlooking power. However trade off exist between area, performance and power. Overall performance of the circuit is affected by its components. Optimization of the design is accomplished by compromising design issues and components. With the shrinking technology below 90 nm power dissipation and its management has been critical for designer .Importance for optimization has been drawn from extended battery life and lowering package cost. This paper presents a literature review upon the strategies and methodologies in designing low power VLSI circuits. Keywords: VLSI circuits, Low power management, Low power strategies, power dissipation, Power optimization. I. Introduction Digital circuits simplify transistor operation that allows devices to be conceived as switches. Advent of Vacuum tube created a huge impact on electronics industry but had certain hindrances like high power and hundreds of anode voltage. The invention of transistor was a revolutionary step in microelectronics industry consuming few watts of power. This was the foundation stone for low power devices.The integration of numerous functions into a single chip and improvement in the performance of the circuits has led to shrinkage of feature size and resulted in the growth of power per unit area that in turn accompanied a requirement of heat removal and cooling system. Low power is now a principal them in VLSI domain. Three most important factors now days to be optimized are area, power and performance. In the past area, reliability, cost and performance was given utmost importance and power reduction was negligible. Requirement for low power has been increased with remarkable growth in battery powered, complex functional device like PC, wearable devices, mobile phones, implantable medical instruments, multimedia portable devices that demand low power consumption and high speed computation. High power system exacerbates numerous silicon failures due to operation in high temperature. Rise in 10o C temperature component failure rate doubles. Some of the key design issues in the VLSI industry are thermal and electrical limits determination, impact cost, size, weight, battery size, components, heat sink and system packaging. Excessive power consumption is circumscribing factor in integrating more transistor on a single chip. With lesser power dissipation, less amount of heat is produced in the room, lower is the consumption of electricity and lesser requirement of heat removal equipment and thus impact on global environment is trimmed helping in saving environment. Low power strategies are application specific. Goal of micro powered, battery operated gadgets like cell phones, laptops is to increase the battery life, decreasing weight and cutting off packaging cost. Plastic packaging is used for the circuits with power level of 1-2W. The goal of battery powered, high performance system alike tablets and laptops is reduction in power dissipation to half of total power consumption. For high performance, non battery operated devices achievement of reduced power dissipation with the maintenance of reliability is an objective. The paper is organized in the following manner. Section II explains major source of power dissipation. Low power design space has been detailed in the section III. Section IV elaborates power minimization techniques while section V and section VI explains CAD methodologies and power management strategies respectively. The paper concludes in section VII. II. Sources of Power dissipation Power dissipation is the amount of power that is converted into heat and radiated away from the electrical system. Measurement of power dissipation is in watts. Three major sources of power dissipation in CMOS circuit are:
  • 2. A Literature Review on Design Strategies and Methodologies of Low Power VLSI Circuits www.iosrjournals.org 18 | Page i) Leakage current: It happens when input(s) and output(s) are stable i.e. not changing. ii) Short circuit current: It occurs when N-MOS and P-MOS of a CMOS circuit conduct simultaneously allowing current to flow directly from source to ground. iii) Logic transitions: Nodes in a digital CMOS circuits oscillates between two logic levels („0‟ and „1‟) that in turn charges and discharges the capacitance. This charging and discharging causes the Current to flow from channel resistances of the transistor and phenomenon of power dissipation comes into the picture. Leakage current fall under the category of static power dissipation while short circuit current and logic transitions are categorized under dynamic power dissipation. Leakage current depends on fabrication technology that includes reverse bias current and subthreshold current in parasitic diodes. The formation of the reverse bias current takes place between drain, source and bulk region in MOS transistors while subthreshold current arises from the inversion charge existing at gate voltage below threshold voltage. If feature size is 1 micrometer then a diode leakage of 1 picoA takes places. If a dc path is formed between supply rails and ground during input and output transition then short circuit current takes places. Short circuit current is referred to as crow bar current. For an inverter gate, crow bar current is proportional to gain of inverter gate, supply voltage cubic power, subthreshold voltage, operating frequency and as well as on input rise/fall time. During logic transitions capacitive loads are charged and discharged and thus causes power dissipation. In case of absence of load maximum short circuit current is observed that decreases as load is increased. Short circuit power consumption is less than 15% of dynamic power consumption if rise and fall time of input(s) and output(s) are equivalent. Exploitation of appropriate circuit and device designing techniques can endeavor in bogging down the short circuit and leakage current. However charging and discharging of load capacitance dominates power consumption and is given by equation 1: P = 0.5CVdd 2 E(sw) fclk (1) Where C is the physical capacitance of the circuit, Vdd is the power supply, fclkis the clock frequency and E(sw) is the switching activity that describes average number of transitions per 1/ fclk period. Total power is given in the equation 2 as: Ptotal = Pdynamic+ Pstatic + Pshortcircuit (2) III. Low Power Design Space Low power can be accomplished by reducing one of the following factors: 1. Voltage: One of the best method for reduction of power in the circuits. Voltage and power relationship is described by following equation P = V2 R If V is reduced then power is also reduced. Now consider V as V then a power reduction of one 2 fourth is Observed. Its effect is globally on the circuit. Designers often sacrifice increased physical capacitance and data activity for reduced voltage. Although this design space have few disadvantages of lower speed and increased delay as Vdd approaches Vt. 2. Physical capacitance: Dynamic power dissipation is dependent on switching of physical capacitance. Determination of physical capacitance is arduous task before routing and mapping. Thus with complete information about placement, routing and mapping precision of estimation of capacitance is high. With lesser logic, shorter wires and smaller devices capacitance can be curtailed. An important factor while designing a circuit is interconnects capacitance. Interconnects affect chip area, power dissipation and delay thus during design processing interconnects shall be estimated. Calculation of interconnect capacitance is becomes easy after layout designing. Register sharing, extraction of common sub- functions and information about placement and routing helps in reducing interconnect capacitance. 3. Logic transitions: Logic transitions or switching activity influences dynamic power dissipation. In the absence of switching activity power dissipation is zero even on a chip having large number of capacitance. Logic transitions determines switching that has two components namely fclkand E(sw). fclk estimates the average period of data arrival while E(sw) determines number of transition each arrival generate. IV. Power Minimization technique i) Reducing chip area and capacitances with techniques such as SOI (Silicon on insulator) with partially or fully depleted wells or by scaling CMOS to submicron device size. It is an efficient technique but financially too expensive.
  • 3. A Literature Review on Design Strategies and Methodologies of Low Power VLSI Circuits www.iosrjournals.org 19 | Page ii) Advanced interconnect substrates like multi chip module MCM. It is highly efficient technique but cost heavily. iii) Supply voltage scaling: It requires new fabrication technique and support circuits such as DC/DC converters and level converters for operation low voltage environment. It is a cheap technique but handling of signal to noise ratio is critical. iv) Better design technique: Investment for the reduction of power by employing a better design technique is small and has a very high potential. v) Appropriate power management strategies. V. CAD Methodologies System Level Circuit level design Logic synthesis Physical design level Figure1. Different CAD Methodologies EDA tools bolster power savings during implementation. Four level of abstraction are logic synthesis level, system level, physical design level and circuit level. This is achieved at above various level of abstraction. 3.1 System level : At system level design, the hardware modules that are inactive is turned off automatically in order to husband power. A designer can provide minimum supply voltages to modules and implant them with level converters. Thus utilization of this technique will cycle back the energy to save power. 3.2 Logic synthesis: This level of abstraction fits between register transfer level and netlist of gate specification. Various techniques are employed for the transformation and optimization of RTL description depending upon input target implementation, delay model and objective functions. After the system level, architectural implementation and technological choices are made the switched capacitance of logic determines the power consumption. 3.3 Physical design level: It fits between netlist gate specification and layout that depends on target design technology, packaging technology and objective functions. Numerous optimization technologies are used for partition, place, resize and route gate. Under zero delay model switching activity of gate is constant during layout optimization therefore to reduce the power decrease the load on high switching activity gate by appropriate netlist portioning, gate placement, gate and wire resizing. 3.4 Circuit level design: This utilizes adiabatic switching principle where speed is traded for low power. Other techniques that are employed are based on self timed circuits and are based on partial transfer of energy stored on a capacitance to a charge sharing capacitance. DC/DC level converters and energy efficient level converters are required for circuit level design power reduction. VI. Power Management strategies Power management strategies play a key role in lowering the power dissipation in digital circuits. Some of the strategies that are discussed in this paper for power reduction are multiple threshold voltage, clock gating, multiple supply voltage, power gating, dynamic voltage scaling and substrate biasing. 6.1 Multiple threshold voltage: Threshold voltage is an important factor in the reducing of power. This method assist in reducing both dynamic and leakage power. Using different threshold voltage according to the mode of operation the goal is
  • 4. A Literature Review on Design Strategies and Methodologies of Low Power VLSI Circuits www.iosrjournals.org 20 | Page easily achieved. For leakage power reduction implantation of high threshold voltage is useful. During active mode of operation low threshold voltage is employed for accomplishing low power with high performance. As threshold voltage increases, subthreshold current starts coming down with an increase in propagation delay of the gate. Thus leading to little penalties on speed and area. This is a very useful strategy for reduction of glitches power. 6.2 Multiple supply voltage: In this technology lower supply voltages and high supply voltage are implanted according to the modes of operation. Non critical path are employed with low supply voltage and higher supply voltage is used for critical paths. Higher supply voltage with critical path helps in attaining performance while lower supply voltage in non critical path helps in accomplishing lower power dissipation. Each tier in a chip is parted into many grids. In these grids higher and lower voltages are fabricated depending upon operational mode. Multiple supply voltage is used in digital signal processors. It helps in achieving latency constraints in critical path. Some of the disadvantages with it are isolation requirement, little increment in the area and difficulty in testing. 6.3 Power gating: In power gating methodology a sleep transistor is introduced between actual ground and virtual ground when device is turned off in sleep mode in order to cut off leakage path. This technique cut short leakage power without impacting performance. Two types of power gating techniques are fine graining and coarse graining. Design architecture is more than clock gating technique. The disadvantage of this strategy is increased area and delay. Better power efficiency is an advantage of this technique. 6.4 Body biasing: Body biasing decreases power dissipation by enhancing threshold voltage of individual transistor thereby curtailing leakage current. Two most commonly used techniques are Swapped body biasing (SBB) and dynamic threshold voltage MOS biasing scheme. In SBB propagation delay is short. This technique tends to propose slight penalty on delay and area. RFID, biomedical devices and sensor networks are some example where body biasing is employed. 6.5 Dynamic voltage and frequency scaling: Most commonly used power management strategy. In this technique clock frequency is reduced causing a reduction in supply voltage. It has the ability to reduce power consumption of CMOS IC like modern computers and laptops. P= cfv2 + Pstatic (3) Voltage required depends upon the frequency at which it is clocked and thus if frequency is trimmed then voltage can be reduced. By this technique 34% of power is saved. The reduction in frequency increases speed, thus a biggest advantage of this technique. It also offers high performance. It is employed for microprocessors, multimedia interface system and battery powered electronic devices. 6.6 Clock gating: This technique reduces clock signal resulting in switching power reduction of flip flop. As feature size had shrink clock frequency of IC has increased thus power consumption takes place. Power dissipation is highest due to clock net as it has higher switching activity. In clock gating technique clock is stopped in parts of the circuits. Clock gating occupies large chip area. Some clock gating techniques are AND gate. NOR gate, Latched AND and latched NOR clock gating. Table 1. Showing comparison between various power management techniques S.no Power Management techniques Power reduction technique Power saving Delay Penalty Area 1 Multiple Threshold voltage Medium Little Medium 2 Multiple supply voltage High Medium Medium 3 Power gating High Small Little 4 Body biasing Medium Small Little 5 Dynamic voltage and frequency scaling High Small Medium 6 Clock gating High Medium Large
  • 5. A Literature Review on Design Strategies and Methodologies of Low Power VLSI Circuits www.iosrjournals.org 21 | Page VII. Conclusion In this paper various strategies and methodologies for reduction in power has been discussed. This paper has successfully reviewed the CAD methods for power optimization keeping pace with area, delay and performance. This works elaborated the need for low power VLSI circuits and suggested various design techniques currently in practice in microelectronics industry. This paper will help the designers to understand the basics of low power. The major design issues were briefly explained and presented for better clarity to anyone looking to grasp good knowledge about the subject. Acknowledgment First author Anuj expresses deep sense of gratitude towards his late grandparents for the shower of blessings. He would take this opportunity to kind heartedly thanks his Mother and Father Mrs Neeta and Mr Avinash Chander for their continuous encouragement and help. Further he is thankful to his Sister Swati Misra and brother- in-law Ashish Misra for being such a great support to him. Without these people accomplishment of this paper would have been a dream. Second author Divya Khanna extends gratitude to her grandmother, Smt. Sarla Khanna, Father, Shri Ramesh Khanna, Mother Mrs. Vandana Khanna and brother Amit Khanna who never ceased in helping until the paper was structured. References Journal Papers: [1] Zamin Ali Khana ,S. M. AqilBurneyb, , Jawed Naseemc, KashifRizwand, “Optimization of Power Consumption in VLSI Circuit” IJCSI International Journal of Computer Science Issues, Vol. 8, Issue 2, March 2011 [2] KanikaKaur, Arti Noor, “STRATEGIES & METHODOLOGIES FOR LOW POWER VLSI DESIGNS: A REVIEW” ,International Journal of Advances in Engineering & Technology, May 2011. [3] Dr. Neelam R, Prakash, Akash, “Clock Gating for Dynamic Power Reduction in Synchronous Circuits” ,International Journal of Engineering Trends and Technology (IJETT) – Volume4Issue5- May 2013. [4] BagadiMadhavi, G Kanchana, VenkateshSeerapu, “Low Power and Area Efficient Design of VLSI Circuits” ,International Journal of Scientific and Research Publications, Volume 3, Issue 4, April 2013 [5] Sherif A. Tawfik and VolkanKursun,“Low Power and High Speed Multi Threshold Voltage Interface Circuits”,IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS [6] Shih-An Yu Pei-Yu Huang Yu-Min Lee, “A Multiple Supply Voltage Based Power Reduction Method in 3-D Ics Considering Process Variations and Thermal Effects” [7] Velicheti Swetha1, S Rajeswari, “Design and Power Optimization of MT- CMOS circuits using Power Gating Techniques”, International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering (An ISO 3297: 2007 Certified Organization) Vol. 2, Issue 8, August 2013 [8] JabulaniNyathi, Brent Bero and Ryan McKinlay, “A Tunable Body Biasing Scheme for Ultra-Low Power and High Speed CMOS Designs” [9] Manoj Kumar 1, Sandeep K. Arya 2, and Sujata Pandey , “Low power CMOS full adder design with body biasing approach”, journal integrated circuits and system, 2011. [10] Etienne Le Sueur and GernotHeiser ,“Dynamic Voltage and Frequency Scaling: The Laws of Diminishing Returns” Books: [11] Kaushik Roy, Sharat C. Prasad, “Low power CMOS VLSI circuit design” (Wiley India pvt. Ltd., 2013) Authors Profile Anuj received degree of B.Tech(Electronics and communication) from Maharishi Dayanand University in the year 2013. He is enthusiastic to work in the field of VLSI. His area of interest includes digital system design using CAD tools and Low power VLSI domain in addition to analog and mixed signal circuit design. He is also interested in Circuit design in presence of device variability and design of adaptive VLSI circuits. Divya Khanna received degree of B.Tech(Electronics and communication) from Uttar Pradesh technical university in 2012.Currently she is pursuing M.Tech from Amity University, Noida, India. Her interest areas are Electronic Design Automation (EDA) of digital and analog circuits, as well as VLSI design. Apart from that low power, reliability, testing, simulation, design for manufacturability, hardware/software co-design, application specific integrated circuits (ASICs), and System-on-Silicon (SOC) areas also attracts her.