SlideShare a Scribd company logo
1 of 3
Download to read offline
Xhevat BERISHA. Int. Journal of Engineering Research and Application www.ijera.com
ISSN : 2248-9622, Vol. 7, Issue 4, ( Part -5) April 2017, pp.09-11
www.ijera.com DOI: 10.9790/9622-0704050911 9 | P a g e
Dynamic Power Reduction of Digital Circuits by ClockGating
Varsha Dewre*, Rakesh Mandliya**
* (Research Scholar, Department of Electronics and Communication,BMCT,Indore-452010
** (Head of Department, Electronics and Communication,BMCT,Indore-452010
ABSTRACT
In this paper we have presented clock gating process for low power VLSI (very large scale integration) circuit
design. Clock gating is one of the most quite often used systems in RTL to shrink dynamic power consumption
without affecting the performance of the design. One process involves inserting gating requisites in the RTL,
which the synthesis tool translates to clock gating cells in the clock-path of a register bank. This helps to
diminish the switching activity on the clock network, thereby decreasing dynamic power consumption within
the design. Due to the fact the translation accomplished via the synthesis tool is solely combinational; it is
referred to as combinational clock gating. This transformation does not alter the behavior of the register being
gated.
Keywords: Clock Gating, Low Power Design, MUX
I. INTRODUCTION
Reducing power consumption in very
large scale integrated circuits (VLSI) design has
become an interesting research area. Most of the
movable devices available in the market are battery
driven. Battery operating devices impose tight
constraint on the power dissipation. Reducing
power consumption in such devices improves
battery life significantly. Due to lesser development
in battery technology, low power design has
become more interesting research area. Power
consumed in a digital circuit is of two types. Static
and dynamic power. Static power appear in circuit
due to leakage of currents whereas dynamic power
consists of short circuit power and capacitive
switching power. In VLSI circuit clock signal is
used for the synchronization of active components.
Clock power is a major component of power
mainly because the clock is fed to most of the
circuit blocks, and the clock switches every cycle.
Thus the total clock power consumption is a
substantial component of total power dissipation in
a digital circuit [4]. Clock-gating is a well-known
technique to reduce clock power in give circuit. In
a sequential circuit individual blocks usage
depends on application, not all the blocks are used
simultaneously, giving rise to dynamic power
reduction opportunity. By clock gating technique,
clock to a non idle portion of circuit is enable, thus
avoiding power dissipation due to unnecessary
charging and discharging of the unused circuit. In
clock gating clock is selectively stopped for a
portion of circuit which is not performing any
active computation [3]. Local clocks that are
enabled using conditionallyare called gated clocks,
because a signal from the environment is used to
gate the global clock signal [2]. Average power
dissipated in a digital circuit is given as
P average is the average power
dissipation, P dynamic is the dynamic power
dissipation due to switching of transistors, P short-
circuit is the short-circuit current power dissipation
when there is a direct current path from power
supply down to ground , P leakage is the power
dissipation due to leakage currents, P static and is
the static power dissipation.
Power consumed in digital circuits is given
below.
1. Static power
2. Dynamic power.
1. Static Power
Static power is the power dissipated by a
gate when it is inactive or idle. Ideally, CMOS
(Complementary Metal Oxide Semiconductor)
circuits dissipate no power since in the steady state
there is no direct path from Vdd to ground.
2. Dynamic Power
Dynamic power is the power dissipated
during active state due to switching activity of
input signal. In other words, dynamic power
dissipation is caused by the charging and
discharging. Dynamic power dissipation in a circuit
is given as
PD = α CLVDD2
f
RESEARCH ARTICLE OPEN ACCESS
Xhevat BERISHA. Int. Journal of Engineering Research and Application www.ijera.com
ISSN : 2248-9622, Vol. 7, Issue 4, ( Part -5) April 2017, pp.09-11
www.ijera.com DOI: 10.9790/9622-0704050911 10 | P a g e
Where α is the switching activity, f is the operation
frequency, CL is the load capacitance, VDD is the
supply voltage.
II. CLOCK GATING TECHNIQUE
Clock-Gating [8] is the most common
register transfer level (RTL) optimization for
reducing dynamic power. In clock gating method,
clock is applied only to those modules that are
working at that instant. Clock-gating support adds
additional logic to the existing synchronous circuit
[9] to prune the clock tree, thus disabling the
portions of the circuitry that are not in use. By
adopting the clock-gating approach, power
dissipation can be reduced significantly, lowering
not only the switching activity at the function unit
level, but also the switched capacitive load on the
clock distribution network. Here the clock gating
[10] is implemented using AND gates. Fig. 1
shows the schematic of a latch element. A
significant amount of power is consumed during
charge/discharge cycle of the cumulative gate
capacitance Cg [11] of the latch when the clock is
fed directly (Fig. 1(a)) and there is no change in the
clock cycle. Fig. 1(b) shows the latch with gated
clock. By gating the clock, charge/discharge of Cg
can be affected only when there is change in the
clock cycle thus saving power.
PROBLEMS IN PREVIOUS TECHNIQUES
 In AND gate clock gating we have
outputcorrectness problem due to glitches
andhazards.
 In NOR gate clock gating we have
outputcorrectness problem due to glitches
andhazards.
 In Latch based AND gate clock gatingdesign
hazards problem is removed but
glitchesproblem still exists.
 In Latch based NOR gate clock gatinghazards
problem is removed but glitchesproblem still
exists.
 In MUX based clock gating requires
anexpensive MUX per bit and consumes
morepower and hardware.
III. MUX BASED CLOCK GATING
In MUX based clock gating [11] we use
multiplexer to close and open a feedback loop
around a basic D-type flip-flop under control of
enable signal as shown. As the resulting circuit is
simple, robust, and compliant with the rules of
synchronous design this is a safe and often also a
reasonable choice. one negative side of this
approach it takes one fairly expensive multiplexer
consume more power per bit and consumes more
power. This is because any toggling of the clock
input of a disabled flip-flop amounts to wasting of
energy in discharging and recharging the associated
node capacitances for nothing. In Figure waveform
of Negative Edge triggered Counter is shown and
in Positive edge triggered. When En turns ON then
at each Negative and Positive Edge of the clock
respectively counter increments and when En goes
Low counter holds its state.
APPLICATIONS OF GATED CLOCK
1- All sequential circuit.
2- Memory processing
3- Registers & counters.
4- Data processing.
5- Arithmetic and logic units.
IV. RESULT
The dynamic power reduction by clock
gating technique using MUX is verified on 16x1
MUX. The results shows that a significant change
in dynamic power. Figure 2 showsthe input
voltage, current drawn; dynamic and static power
drawn and total power drawn for 16x1 MUX
without clock gating applied. Figure 3 shows same
result using clock gating.
Fig 2 16x1 MUX without clock gating
Fig 3 16x1 MUX without clock gating
V. CONCLUSION
From above result we can conclude that
with gating technique we can reduce dynamic
power of any digital circuit dynamic power of 16x1
MUX without gating is 0.04 where it is dynamic
power of same MUX with gating is around 0.02.
We have reduce the clock or dynamic power of
circuit by 0.02.
Xhevat BERISHA. Int. Journal of Engineering Research and Application www.ijera.com
ISSN : 2248-9622, Vol. 7, Issue 4, ( Part -5) April 2017, pp.09-11
www.ijera.com DOI: 10.9790/9622-0704050911 11 | P a g e
REFERENCES
[1] SmithaSundaresan, Frederic Rivoallon,
“Analysis of Power Savings from Intelligent
Clock Gating”, XAPP790 (v1.0) Xilinx
August 13, 2012.
[2] JagritKathuria, M. Ayoubkhan,
AartiNoor,“A review of clock gating
techniques” MIT International Journal of
Electronics and Communication
Engineering, Vol. 1 2 Aug 2011, pp.106-
114.
[3] Frederic Rivoallon, “Reducing Switching
Power with Intelligent Clock Gating’’,
WP370, Xilinx, August 29, 2013.
[4] Yun long Zhang, Qiang Tong, Li Li, Wei
Wang, Ken Choi, Jong Eun Jang, Hyobin
Jung, Si-Young Ahn, “Automatic Register
Transfer Level CAD Tool Design for
Advanced Clock Gating and Low Power
Schemes”, ISOCC 2012, pp. 21-24.
[5] L. Li, K. Choi, "Activity-driven optimized
bus-specific-clock gating for ultra-low-
power smart space applications", IET
Commun., 2011, Vol. 5, Issue 17, pp. 2501–
2508.
[6] T. Lang, E. Musoll, J. Cortadella,"Individual
flip-flops with gated clocks for low power
datapaths", IEEE TCAS-II: Analog and
DigitalSignal Processing, 44(6), 1997.
[7] W. Wang, Y. C. Tsao, K. Choi, S. Park, M.
K. Chung, "Pipeline power reduction
through single comparator-based clock
gating", SoC Design Conference (ISOCC),
2009 International, pp. 480-483.
[8] J. Cong, C.-K. Koh; K.-S. Leung,
“SimultaneousBuffer and Wire Sizing for
Performance and Power Optimization,”
ISLPED-96: ACM/IEEEInternational
Symposium on Low-Power Electronicsand
Design, pp. 271-276, Monterey, CA, August
1996.
[9] Adler, E. G. Friedman, “Repeater Insertion
toReduce Delay and Power in RC Tree
Structures,” 31st
IEEE Asilomar Conference,
pp. 749-752, Pacific Grove, CA, November
1997.
[10] Srinivasan, Nandita, et al. "Power Reduction
by Clock Gating Technique."Procedia
Technology 21 (2015): 631-635.
[11] T. Kumar, B.Pandey, T. Das and S. M. M.
Islam, “64 Bit Green ALU Design Using
Clock Gating Technique on Ultra Scale
FPGA”, IEEE International conference on
Green Computing, Communication and
Conservation of Energy (ICGCE), (2013).
[12] K. Goswami and B.Pandey, “LVCMOS
Based Thermal Aware Energy Efficient
Vedic Multiplier Design on FPGA”, IEEE
6th International Conference on
Computational Intelligence and
Communication Networks (CICN), Udaipur,
(2014).
[13] T. Kumar, “CTHS Based Energy Efficient
Thermal Aware Image ALU Design on
FPGA”, Springer Wireless Personal
Communications, An International Journal,
ISSN: 0929-6212(print), ISSN:1572-
834X(electronic), SCI Indexed, vol. 83, no.
1. (2015)
[14] S. H. A. Musavi, B. S. Chowdhry, T.
Kumar, B. Pandey and W. Kumar,. IoTs
Enable Active Contour Modeling Based
Energy Efficient and Thermal Aware Object
Tracking on FPGA. Springer Wireless
Personal Communications, vol. 85, no. 2,
(2015), pp. 529-543. ISSN:1572-834X.
[15] T. Kumar, B. Pandey, T. Das and B.S.
Chowdhry, “Mobile DDR IO Standard
Based High Performance Energy Efficient
Portable ALU Design on FPGA”, Springer
Wireles Personal Communications, An
International Journal, vol. 76, no. 3, (2014),
pp. 569-578.

More Related Content

What's hot

Programmable Load Shedding for the utility department
Programmable Load Shedding for the utility departmentProgrammable Load Shedding for the utility department
Programmable Load Shedding for the utility departmentMukund Hundekar
 
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterArea Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterIJMTST Journal
 
Fuzzy Based Analysis of Inverter Fed Micro Grid in Islanding Operation-Experi...
Fuzzy Based Analysis of Inverter Fed Micro Grid in Islanding Operation-Experi...Fuzzy Based Analysis of Inverter Fed Micro Grid in Islanding Operation-Experi...
Fuzzy Based Analysis of Inverter Fed Micro Grid in Islanding Operation-Experi...IJPEDS-IAES
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET Journal
 
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUEPOWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUEAnil Yadav
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
IRJET - Implementation of Low Harmonics Multilevel Inverter using SPWM
IRJET -  	  Implementation of Low Harmonics Multilevel Inverter using SPWMIRJET -  	  Implementation of Low Harmonics Multilevel Inverter using SPWM
IRJET - Implementation of Low Harmonics Multilevel Inverter using SPWMIRJET Journal
 
IRJET - Implementation of Simulink and Hardware System of MPPT by using F...
IRJET -  	  Implementation of Simulink and Hardware System of MPPT by using F...IRJET -  	  Implementation of Simulink and Hardware System of MPPT by using F...
IRJET - Implementation of Simulink and Hardware System of MPPT by using F...IRJET Journal
 
IRJET- Review on Performance of OTA Structure
IRJET- Review on Performance of OTA StructureIRJET- Review on Performance of OTA Structure
IRJET- Review on Performance of OTA StructureIRJET Journal
 
Wireless Power Transmission for Mobile Charging
Wireless Power Transmission for Mobile ChargingWireless Power Transmission for Mobile Charging
Wireless Power Transmission for Mobile ChargingIRJET Journal
 
Low power cmos binary counter using conventional flip flops
Low power cmos binary counter using conventional flip   flopsLow power cmos binary counter using conventional flip   flops
Low power cmos binary counter using conventional flip flopsIAEME Publication
 
Impact of Partial Shading and bypass diode on PV panel Output Power
Impact of Partial Shading and bypass diode on PV panel Output PowerImpact of Partial Shading and bypass diode on PV panel Output Power
Impact of Partial Shading and bypass diode on PV panel Output Powersaad motahhir
 

What's hot (20)

Programmable Load Shedding for the utility department
Programmable Load Shedding for the utility departmentProgrammable Load Shedding for the utility department
Programmable Load Shedding for the utility department
 
Islanding
IslandingIslanding
Islanding
 
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterArea Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
 
Fuzzy Based Analysis of Inverter Fed Micro Grid in Islanding Operation-Experi...
Fuzzy Based Analysis of Inverter Fed Micro Grid in Islanding Operation-Experi...Fuzzy Based Analysis of Inverter Fed Micro Grid in Islanding Operation-Experi...
Fuzzy Based Analysis of Inverter Fed Micro Grid in Islanding Operation-Experi...
 
Clock gating
Clock gatingClock gating
Clock gating
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
 
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUEPOWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
IRJET - Implementation of Low Harmonics Multilevel Inverter using SPWM
IRJET -  	  Implementation of Low Harmonics Multilevel Inverter using SPWMIRJET -  	  Implementation of Low Harmonics Multilevel Inverter using SPWM
IRJET - Implementation of Low Harmonics Multilevel Inverter using SPWM
 
Project_Report_Debargha
Project_Report_DebarghaProject_Report_Debargha
Project_Report_Debargha
 
IRJET - Implementation of Simulink and Hardware System of MPPT by using F...
IRJET -  	  Implementation of Simulink and Hardware System of MPPT by using F...IRJET -  	  Implementation of Simulink and Hardware System of MPPT by using F...
IRJET - Implementation of Simulink and Hardware System of MPPT by using F...
 
IRJET- Review on Performance of OTA Structure
IRJET- Review on Performance of OTA StructureIRJET- Review on Performance of OTA Structure
IRJET- Review on Performance of OTA Structure
 
Wireless Power Transmission for Mobile Charging
Wireless Power Transmission for Mobile ChargingWireless Power Transmission for Mobile Charging
Wireless Power Transmission for Mobile Charging
 
Anti-islanding
Anti-islandingAnti-islanding
Anti-islanding
 
Cn32556558
Cn32556558Cn32556558
Cn32556558
 
Presentation22
Presentation22Presentation22
Presentation22
 
Low power cmos binary counter using conventional flip flops
Low power cmos binary counter using conventional flip   flopsLow power cmos binary counter using conventional flip   flops
Low power cmos binary counter using conventional flip flops
 
Ppt iitr
Ppt iitrPpt iitr
Ppt iitr
 
G352734
G352734G352734
G352734
 
Impact of Partial Shading and bypass diode on PV panel Output Power
Impact of Partial Shading and bypass diode on PV panel Output PowerImpact of Partial Shading and bypass diode on PV panel Output Power
Impact of Partial Shading and bypass diode on PV panel Output Power
 

Similar to Dynamic Power Reduction of Digital Circuits by ClockGating

Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingIRJET Journal
 
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...VLSICS Design
 
IRJET - Analysis of Power Consumption in Glitch Free Dual Edge Triggered ...
IRJET -  	  Analysis of Power Consumption in Glitch Free Dual Edge Triggered ...IRJET -  	  Analysis of Power Consumption in Glitch Free Dual Edge Triggered ...
IRJET - Analysis of Power Consumption in Glitch Free Dual Edge Triggered ...IRJET Journal
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVLSICS Design
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVLSICS Design
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVLSICS Design
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Editor IJARCET
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Editor IJARCET
 
Design and implementation of a generator power sensor and shutdown timer
Design and implementation of a generator power sensor and shutdown timerDesign and implementation of a generator power sensor and shutdown timer
Design and implementation of a generator power sensor and shutdown timerAlexander Decker
 
An Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsAn Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsIJTET Journal
 
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLINGFPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLINGEditor IJMTER
 
Power Generation Using Footstep
Power Generation Using FootstepPower Generation Using Footstep
Power Generation Using Footsteppaperpublications3
 
Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniquesiosrjce
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueIJERA Editor
 
Low power and high performance detff using common
Low power and high performance detff using commonLow power and high performance detff using common
Low power and high performance detff using commoneSAT Publishing House
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET Journal
 

Similar to Dynamic Power Reduction of Digital Circuits by ClockGating (20)

Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gating
 
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
 
Cn32556558
Cn32556558Cn32556558
Cn32556558
 
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
 
IRJET - Analysis of Power Consumption in Glitch Free Dual Edge Triggered ...
IRJET -  	  Analysis of Power Consumption in Glitch Free Dual Edge Triggered ...IRJET -  	  Analysis of Power Consumption in Glitch Free Dual Edge Triggered ...
IRJET - Analysis of Power Consumption in Glitch Free Dual Edge Triggered ...
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142
 
Design and implementation of a generator power sensor and shutdown timer
Design and implementation of a generator power sensor and shutdown timerDesign and implementation of a generator power sensor and shutdown timer
Design and implementation of a generator power sensor and shutdown timer
 
An Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsAn Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic Circuits
 
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLINGFPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
 
Power Generation Using Footstep
Power Generation Using FootstepPower Generation Using Footstep
Power Generation Using Footstep
 
Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniques
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
 
Hx3313651367
Hx3313651367Hx3313651367
Hx3313651367
 
Low power and high performance detff using common
Low power and high performance detff using commonLow power and high performance detff using common
Low power and high performance detff using common
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
 
H33038041
H33038041H33038041
H33038041
 

Recently uploaded

Hospital management system project report.pdf
Hospital management system project report.pdfHospital management system project report.pdf
Hospital management system project report.pdfKamal Acharya
 
Ground Improvement Technique: Earth Reinforcement
Ground Improvement Technique: Earth ReinforcementGround Improvement Technique: Earth Reinforcement
Ground Improvement Technique: Earth ReinforcementDr. Deepak Mudgal
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptxJIT KUMAR GUPTA
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueBhangaleSonal
 
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best ServiceTamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Servicemeghakumariji156
 
Hostel management system project report..pdf
Hostel management system project report..pdfHostel management system project report..pdf
Hostel management system project report..pdfKamal Acharya
 
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...Amil baba
 
UNIT 4 PTRP final Convergence in probability.pptx
UNIT 4 PTRP final Convergence in probability.pptxUNIT 4 PTRP final Convergence in probability.pptx
UNIT 4 PTRP final Convergence in probability.pptxkalpana413121
 
Employee leave management system project.
Employee leave management system project.Employee leave management system project.
Employee leave management system project.Kamal Acharya
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdfKamal Acharya
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptDineshKumar4165
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . pptDineshKumar4165
 
Online food ordering system project report.pdf
Online food ordering system project report.pdfOnline food ordering system project report.pdf
Online food ordering system project report.pdfKamal Acharya
 
Online electricity billing project report..pdf
Online electricity billing project report..pdfOnline electricity billing project report..pdf
Online electricity billing project report..pdfKamal Acharya
 
DeepFakes presentation : brief idea of DeepFakes
DeepFakes presentation : brief idea of DeepFakesDeepFakes presentation : brief idea of DeepFakes
DeepFakes presentation : brief idea of DeepFakesMayuraD1
 
Basic Electronics for diploma students as per technical education Kerala Syll...
Basic Electronics for diploma students as per technical education Kerala Syll...Basic Electronics for diploma students as per technical education Kerala Syll...
Basic Electronics for diploma students as per technical education Kerala Syll...ppkakm
 
Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayEpec Engineered Technologies
 
Computer Graphics Introduction To Curves
Computer Graphics Introduction To CurvesComputer Graphics Introduction To Curves
Computer Graphics Introduction To CurvesChandrakantDivate1
 
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...drmkjayanthikannan
 

Recently uploaded (20)

Hospital management system project report.pdf
Hospital management system project report.pdfHospital management system project report.pdf
Hospital management system project report.pdf
 
Ground Improvement Technique: Earth Reinforcement
Ground Improvement Technique: Earth ReinforcementGround Improvement Technique: Earth Reinforcement
Ground Improvement Technique: Earth Reinforcement
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torque
 
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best ServiceTamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
 
Hostel management system project report..pdf
Hostel management system project report..pdfHostel management system project report..pdf
Hostel management system project report..pdf
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
 
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
 
UNIT 4 PTRP final Convergence in probability.pptx
UNIT 4 PTRP final Convergence in probability.pptxUNIT 4 PTRP final Convergence in probability.pptx
UNIT 4 PTRP final Convergence in probability.pptx
 
Employee leave management system project.
Employee leave management system project.Employee leave management system project.
Employee leave management system project.
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdf
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.ppt
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . ppt
 
Online food ordering system project report.pdf
Online food ordering system project report.pdfOnline food ordering system project report.pdf
Online food ordering system project report.pdf
 
Online electricity billing project report..pdf
Online electricity billing project report..pdfOnline electricity billing project report..pdf
Online electricity billing project report..pdf
 
DeepFakes presentation : brief idea of DeepFakes
DeepFakes presentation : brief idea of DeepFakesDeepFakes presentation : brief idea of DeepFakes
DeepFakes presentation : brief idea of DeepFakes
 
Basic Electronics for diploma students as per technical education Kerala Syll...
Basic Electronics for diploma students as per technical education Kerala Syll...Basic Electronics for diploma students as per technical education Kerala Syll...
Basic Electronics for diploma students as per technical education Kerala Syll...
 
Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power Play
 
Computer Graphics Introduction To Curves
Computer Graphics Introduction To CurvesComputer Graphics Introduction To Curves
Computer Graphics Introduction To Curves
 
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
 

Dynamic Power Reduction of Digital Circuits by ClockGating

  • 1. Xhevat BERISHA. Int. Journal of Engineering Research and Application www.ijera.com ISSN : 2248-9622, Vol. 7, Issue 4, ( Part -5) April 2017, pp.09-11 www.ijera.com DOI: 10.9790/9622-0704050911 9 | P a g e Dynamic Power Reduction of Digital Circuits by ClockGating Varsha Dewre*, Rakesh Mandliya** * (Research Scholar, Department of Electronics and Communication,BMCT,Indore-452010 ** (Head of Department, Electronics and Communication,BMCT,Indore-452010 ABSTRACT In this paper we have presented clock gating process for low power VLSI (very large scale integration) circuit design. Clock gating is one of the most quite often used systems in RTL to shrink dynamic power consumption without affecting the performance of the design. One process involves inserting gating requisites in the RTL, which the synthesis tool translates to clock gating cells in the clock-path of a register bank. This helps to diminish the switching activity on the clock network, thereby decreasing dynamic power consumption within the design. Due to the fact the translation accomplished via the synthesis tool is solely combinational; it is referred to as combinational clock gating. This transformation does not alter the behavior of the register being gated. Keywords: Clock Gating, Low Power Design, MUX I. INTRODUCTION Reducing power consumption in very large scale integrated circuits (VLSI) design has become an interesting research area. Most of the movable devices available in the market are battery driven. Battery operating devices impose tight constraint on the power dissipation. Reducing power consumption in such devices improves battery life significantly. Due to lesser development in battery technology, low power design has become more interesting research area. Power consumed in a digital circuit is of two types. Static and dynamic power. Static power appear in circuit due to leakage of currents whereas dynamic power consists of short circuit power and capacitive switching power. In VLSI circuit clock signal is used for the synchronization of active components. Clock power is a major component of power mainly because the clock is fed to most of the circuit blocks, and the clock switches every cycle. Thus the total clock power consumption is a substantial component of total power dissipation in a digital circuit [4]. Clock-gating is a well-known technique to reduce clock power in give circuit. In a sequential circuit individual blocks usage depends on application, not all the blocks are used simultaneously, giving rise to dynamic power reduction opportunity. By clock gating technique, clock to a non idle portion of circuit is enable, thus avoiding power dissipation due to unnecessary charging and discharging of the unused circuit. In clock gating clock is selectively stopped for a portion of circuit which is not performing any active computation [3]. Local clocks that are enabled using conditionallyare called gated clocks, because a signal from the environment is used to gate the global clock signal [2]. Average power dissipated in a digital circuit is given as P average is the average power dissipation, P dynamic is the dynamic power dissipation due to switching of transistors, P short- circuit is the short-circuit current power dissipation when there is a direct current path from power supply down to ground , P leakage is the power dissipation due to leakage currents, P static and is the static power dissipation. Power consumed in digital circuits is given below. 1. Static power 2. Dynamic power. 1. Static Power Static power is the power dissipated by a gate when it is inactive or idle. Ideally, CMOS (Complementary Metal Oxide Semiconductor) circuits dissipate no power since in the steady state there is no direct path from Vdd to ground. 2. Dynamic Power Dynamic power is the power dissipated during active state due to switching activity of input signal. In other words, dynamic power dissipation is caused by the charging and discharging. Dynamic power dissipation in a circuit is given as PD = α CLVDD2 f RESEARCH ARTICLE OPEN ACCESS
  • 2. Xhevat BERISHA. Int. Journal of Engineering Research and Application www.ijera.com ISSN : 2248-9622, Vol. 7, Issue 4, ( Part -5) April 2017, pp.09-11 www.ijera.com DOI: 10.9790/9622-0704050911 10 | P a g e Where α is the switching activity, f is the operation frequency, CL is the load capacitance, VDD is the supply voltage. II. CLOCK GATING TECHNIQUE Clock-Gating [8] is the most common register transfer level (RTL) optimization for reducing dynamic power. In clock gating method, clock is applied only to those modules that are working at that instant. Clock-gating support adds additional logic to the existing synchronous circuit [9] to prune the clock tree, thus disabling the portions of the circuitry that are not in use. By adopting the clock-gating approach, power dissipation can be reduced significantly, lowering not only the switching activity at the function unit level, but also the switched capacitive load on the clock distribution network. Here the clock gating [10] is implemented using AND gates. Fig. 1 shows the schematic of a latch element. A significant amount of power is consumed during charge/discharge cycle of the cumulative gate capacitance Cg [11] of the latch when the clock is fed directly (Fig. 1(a)) and there is no change in the clock cycle. Fig. 1(b) shows the latch with gated clock. By gating the clock, charge/discharge of Cg can be affected only when there is change in the clock cycle thus saving power. PROBLEMS IN PREVIOUS TECHNIQUES  In AND gate clock gating we have outputcorrectness problem due to glitches andhazards.  In NOR gate clock gating we have outputcorrectness problem due to glitches andhazards.  In Latch based AND gate clock gatingdesign hazards problem is removed but glitchesproblem still exists.  In Latch based NOR gate clock gatinghazards problem is removed but glitchesproblem still exists.  In MUX based clock gating requires anexpensive MUX per bit and consumes morepower and hardware. III. MUX BASED CLOCK GATING In MUX based clock gating [11] we use multiplexer to close and open a feedback loop around a basic D-type flip-flop under control of enable signal as shown. As the resulting circuit is simple, robust, and compliant with the rules of synchronous design this is a safe and often also a reasonable choice. one negative side of this approach it takes one fairly expensive multiplexer consume more power per bit and consumes more power. This is because any toggling of the clock input of a disabled flip-flop amounts to wasting of energy in discharging and recharging the associated node capacitances for nothing. In Figure waveform of Negative Edge triggered Counter is shown and in Positive edge triggered. When En turns ON then at each Negative and Positive Edge of the clock respectively counter increments and when En goes Low counter holds its state. APPLICATIONS OF GATED CLOCK 1- All sequential circuit. 2- Memory processing 3- Registers & counters. 4- Data processing. 5- Arithmetic and logic units. IV. RESULT The dynamic power reduction by clock gating technique using MUX is verified on 16x1 MUX. The results shows that a significant change in dynamic power. Figure 2 showsthe input voltage, current drawn; dynamic and static power drawn and total power drawn for 16x1 MUX without clock gating applied. Figure 3 shows same result using clock gating. Fig 2 16x1 MUX without clock gating Fig 3 16x1 MUX without clock gating V. CONCLUSION From above result we can conclude that with gating technique we can reduce dynamic power of any digital circuit dynamic power of 16x1 MUX without gating is 0.04 where it is dynamic power of same MUX with gating is around 0.02. We have reduce the clock or dynamic power of circuit by 0.02.
  • 3. Xhevat BERISHA. Int. Journal of Engineering Research and Application www.ijera.com ISSN : 2248-9622, Vol. 7, Issue 4, ( Part -5) April 2017, pp.09-11 www.ijera.com DOI: 10.9790/9622-0704050911 11 | P a g e REFERENCES [1] SmithaSundaresan, Frederic Rivoallon, “Analysis of Power Savings from Intelligent Clock Gating”, XAPP790 (v1.0) Xilinx August 13, 2012. [2] JagritKathuria, M. Ayoubkhan, AartiNoor,“A review of clock gating techniques” MIT International Journal of Electronics and Communication Engineering, Vol. 1 2 Aug 2011, pp.106- 114. [3] Frederic Rivoallon, “Reducing Switching Power with Intelligent Clock Gating’’, WP370, Xilinx, August 29, 2013. [4] Yun long Zhang, Qiang Tong, Li Li, Wei Wang, Ken Choi, Jong Eun Jang, Hyobin Jung, Si-Young Ahn, “Automatic Register Transfer Level CAD Tool Design for Advanced Clock Gating and Low Power Schemes”, ISOCC 2012, pp. 21-24. [5] L. Li, K. Choi, "Activity-driven optimized bus-specific-clock gating for ultra-low- power smart space applications", IET Commun., 2011, Vol. 5, Issue 17, pp. 2501– 2508. [6] T. Lang, E. Musoll, J. Cortadella,"Individual flip-flops with gated clocks for low power datapaths", IEEE TCAS-II: Analog and DigitalSignal Processing, 44(6), 1997. [7] W. Wang, Y. C. Tsao, K. Choi, S. Park, M. K. Chung, "Pipeline power reduction through single comparator-based clock gating", SoC Design Conference (ISOCC), 2009 International, pp. 480-483. [8] J. Cong, C.-K. Koh; K.-S. Leung, “SimultaneousBuffer and Wire Sizing for Performance and Power Optimization,” ISLPED-96: ACM/IEEEInternational Symposium on Low-Power Electronicsand Design, pp. 271-276, Monterey, CA, August 1996. [9] Adler, E. G. Friedman, “Repeater Insertion toReduce Delay and Power in RC Tree Structures,” 31st IEEE Asilomar Conference, pp. 749-752, Pacific Grove, CA, November 1997. [10] Srinivasan, Nandita, et al. "Power Reduction by Clock Gating Technique."Procedia Technology 21 (2015): 631-635. [11] T. Kumar, B.Pandey, T. Das and S. M. M. Islam, “64 Bit Green ALU Design Using Clock Gating Technique on Ultra Scale FPGA”, IEEE International conference on Green Computing, Communication and Conservation of Energy (ICGCE), (2013). [12] K. Goswami and B.Pandey, “LVCMOS Based Thermal Aware Energy Efficient Vedic Multiplier Design on FPGA”, IEEE 6th International Conference on Computational Intelligence and Communication Networks (CICN), Udaipur, (2014). [13] T. Kumar, “CTHS Based Energy Efficient Thermal Aware Image ALU Design on FPGA”, Springer Wireless Personal Communications, An International Journal, ISSN: 0929-6212(print), ISSN:1572- 834X(electronic), SCI Indexed, vol. 83, no. 1. (2015) [14] S. H. A. Musavi, B. S. Chowdhry, T. Kumar, B. Pandey and W. Kumar,. IoTs Enable Active Contour Modeling Based Energy Efficient and Thermal Aware Object Tracking on FPGA. Springer Wireless Personal Communications, vol. 85, no. 2, (2015), pp. 529-543. ISSN:1572-834X. [15] T. Kumar, B. Pandey, T. Das and B.S. Chowdhry, “Mobile DDR IO Standard Based High Performance Energy Efficient Portable ALU Design on FPGA”, Springer Wireles Personal Communications, An International Journal, vol. 76, no. 3, (2014), pp. 569-578.