SlideShare a Scribd company logo
1 of 3
Download to read offline
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 5321
RELIABILITY ENHANCEMENT OF LOW-POWER SEQUENTIAL CIRCUITS
USING POWER GATING TECHNOLOGY
Deepa Jose1, Juby Raju2, Saju A3
1PG Scholar, Dept of ECE, MCET, Kerala
2Associate professor, Dept of ECE, MCET, Kerala
3Research Scholar, VTU, Karnataka
---------------------------------------------------------------------***---------------------------------------------------------------------
Abstract – This paper deals with low-powerASICdesigns with
power gating technology. They compromises with high
performance and low area and power consumption, taking
advantage of both latch and flip-flop features. While the
circuit reliability and robustness against different process,
voltage, and temperature variations are consideredascritical
issues with current technologies, no significant reliability
study was proposed for traditional transmission gate pulsed
latch circuits. In this paper, present a study on the effect of
different PVT variations on the behavior traditional
transmission gate pulsed latch circuits with power gating
technology , while keeping their main advantages of high
performance, low power, and smallarea. Theproposeddesigns
have negligible power overhead when running at nominal
supply voltage, and they have higher yield per unit power
when compared with the traditional design at different
voltages and temperatures. The proposed circuit is
implemented using Tanner v13 in 45 nm technology.
Key Words: Pulsed latches, power gating techniques, low
power, voltage scaling etc
1. INTRODUCTION
FLIP-FLOPS are considered the most popular sequential
elements used in conventional ASIC designs. This is mainly
because of the simplicity of their timing model,whichmakes
the design and timing verification processes much easier.
The high performance custom designs tend to use latches
due to their lower timing in some designs. Although latch
based designs are typically robust to clock skew and jitter
(due to the latch transparency period), latches have a
complicated timing model, which, in turn, complicates the
design and the verification processes and increases the risk
of hold time violations, especially with PVT variations.
Pulsed latches have been always proposed to decrease
power consumption and increase performance. In PLs with
relatively wide pulse widths were used to allow cycle
borrowing and tolerate any clock skew. In order, to
compensate for any data before the end of the pulse. The
structure of transmission gate pulsed latch is similar to
differential latch structure, due to the presence of weak
PMOS transistors in the master latch it is very difficult for
the transition to take place when there is a change in input.
Fig -1: Simple diagram of a transmission gate pulsed latch
Pulsed latches are used in above figured;singlepulsercan be
shared by more than one latch. The advantagesofthiscircuit
are area and power consumption savings.Pulser usage can
eliminate the need for some of theclock buffers inthecircuit.
2. Overview of Power Gating Technology
Power gating is a technique used in integrated circuitdesign
to reduce power consumption, by shutting off the current to
blocks of the circuit that are not in use. In addition to
reducing stand-by or leakage power, power gating has the
benefit of enabling Iddq testing. Power Gating is effectivefor
reducing leakage power. Power gating is the technique
wherein circuit blocks that are not in use are temporarily
turned off to reduce the overall leakage power of the chip.
This temporary shutdown time can also call as "low power
mode" or "inactive mode". When circuit blocks are required
for operation once again they are activated to "activemode".
These two modes are switched at the appropriate time and
in the suitable manner to maximize power performance
while minimizing impacttoperformance.Thusgoal ofpower
gating is to minimize leakage power by temporarily cutting
power off to selective blocks that are not required in that
mode.
2.1 Fine-grain power gating
Adding a sleep transistor to every cell that is to be turned off
imposes a large area penalty, and individually gating the
power of every cluster of cells creates timing issues
introduced by inter-clustervoltagevariationthataredifficult
to resolve. Fine-grain power gating encapsulates the
switching transistor as a part of the standard cell logic.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 5322
Switching transistors are designed by either library IP
vendor or standard cell designer.
The size of the gate control is designed with the worst case
consideration that this circuit will switch during every clock
cycle resulting in a huge area impact. Some of the recent
designs implement the fine-grain power gating selectively,
but only for the low threshold cells. If the technology allows
multiple low threshold libraries, the use of low threshold
devices is minimum in the design (20%), so that the area
impact can be reduced. When using power gates on the low
threshold cells the output must be isolatedifthenextstageis
a high threshold cell. Otherwise it can cause the neighboring
high threshold cell to have leakage when output goes to an
unknown state due to power gating.
Fig -2: Fine-grain power gating
Gate control slew rate constraint is achieved by having a
buffer distribution tree for the control signals. The buffers
must be chosen from a set of always on buffers (buffers
without the gate control signal) designed with high
threshold cells. The inherent difference between whena cell
switches off with respect to another, minimizes the rush
current during switch-on and switch-off.
2.2 Coarse-grain power gating
The coarse-grained approach implements the grid style
sleep transistors which drives cells locally through shared
virtual power networks. This approach is less sensitive to
PVT variation, introduces less IR-drop variation, and
imposes a smaller area overhead than the cell- or cluster-
based implementations. In coarse-grain power gating, the
power-gating transistor is a part of the power distribution
network rather than the standard cell.
3. OVERVIEW OF ARCHITECTURE
The new architecture for transmission gate pulsed latch is
designed by power gating technology. Here we used stack
technique. In which the basic circuit is connected with both
header an footer switches. The PMOS transistorisconnected
to the vdd. During active mode the header switch will be
conduct and transfer the drain voltage to the given circuit.
But in stand by mode it cannot work. So, that leakage power
is reduced. The similar operation is carried out in footer
switch, it will conduct on the stand by mode .The NMOS
transistor is connected as a footer switch. Due to this power
dissipation is grounded.
Fig -3 PROPOSED DIAGRAM
3.1 SIMULATION RESULT
Fig -4:Simulation of proposed design
Fig -5:OUTPUT WAVEFORM
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 5323
Table -1: Comparison Table
Voltage
(vdd)
Power TECHNOLOGY
Traditional
transmissi
on gate
pulsed
latch
3v 4.27w 90nm
Proposed
system
5v 2.204w 45nm
3. CONCLUSION
In this paper presented the effect of PVT variations on the
pulsed latch performance in low power circuit. The circuit is
implemented using Tanner v13 in 45 nm technology. The
analysis considered both the pulser and the latchtoevaluate
the reliability of the entire pulsed latch circuit. In addition,
the benefits of having a power gating technique were
discussed. Stack technique is used in the proposedsystem to
reduce power. Finfet and CNT can be viewed as the future
implantation for this design for standard cell ASICs.
REFERENCES
[1] M. Alioto, E. Consoli, and G. Palumbo, “Variations in
nanometer CMOS flip-flops: Part Energy variability and
impact of other sources of variations,” IEEE Trans.
Circuits Syst. I, Reg. Papers, vol. 62, no. 3,pp. 835–843,
Mar. 2015.
[2] S. Paik, G.-J. Nam, and Y. Shin, “Implementation of
pulsed-latch and pulsed-register circuits to minimize
clocking power,” in Proc. IEEE/ACM Int. Conf. Comput.-
Aided Design (ICCAD), Nov. 2011, pp. 640–646.
[3] E. Consoli, G. Palumbo, J. M. Rabaey, and M. Alioto,
“Novel class of energy-efficient very high-speed
conditional push–pull pulsed latches,” IEEE Trans. Very
Large Scale Integr. (VLSI) Syst., vol. 22, no. 7, pp. 1593–
1605, Jul. 2014.
[4] M. Alioto, E. Consoli, and G. Palumbo, “Variations in
nanometer CMOS flip-flops: Part II—Energy variability
and impact of other sources of variations,” IEEE Trans.
Circuits Syst. I, Reg. Papers, vol. 62, no. 3, pp. 835–843,
Mar. 2015.

More Related Content

What's hot

Variable Frequency on Wireless Power Transfer for Pacemaker using Embedded Te...
Variable Frequency on Wireless Power Transfer for Pacemaker using Embedded Te...Variable Frequency on Wireless Power Transfer for Pacemaker using Embedded Te...
Variable Frequency on Wireless Power Transfer for Pacemaker using Embedded Te...IRJET Journal
 
Design of vco using current mode logic with low supply
Design of vco using current mode logic with low supplyDesign of vco using current mode logic with low supply
Design of vco using current mode logic with low supplyeSAT Publishing House
 
Design of vco using current mode logic with low supply sensitivity
Design of vco using current mode logic with low supply sensitivityDesign of vco using current mode logic with low supply sensitivity
Design of vco using current mode logic with low supply sensitivityeSAT Journals
 
Low Voltage Ride-through Capability Enhancement of Doubly Fed Induction Gener...
Low Voltage Ride-through Capability Enhancement of Doubly Fed Induction Gener...Low Voltage Ride-through Capability Enhancement of Doubly Fed Induction Gener...
Low Voltage Ride-through Capability Enhancement of Doubly Fed Induction Gener...IJPEDS-IAES
 
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...IJEACS
 
Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...eSAT Journals
 
Improved Power Gating Technique for Leakage Power Reduction
Improved Power Gating Technique for Leakage Power ReductionImproved Power Gating Technique for Leakage Power Reduction
Improved Power Gating Technique for Leakage Power Reductioninventy
 
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveySub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveyIJERA Editor
 
anti islanding technology(passive)
anti islanding technology(passive)anti islanding technology(passive)
anti islanding technology(passive)minu Yacob
 
Island Detection and Control Techniques
Island Detection and Control Techniques Island Detection and Control Techniques
Island Detection and Control Techniques Tanveer Riaz
 
Decentralized cooperative control strategy of microsources for stabilizing au...
Decentralized cooperative control strategy of microsources for stabilizing au...Decentralized cooperative control strategy of microsources for stabilizing au...
Decentralized cooperative control strategy of microsources for stabilizing au...Jamerson Ramos
 
A Passive Islanding Detection Method for Neutral point clamped Multilevel Inv...
A Passive Islanding Detection Method for Neutral point clamped Multilevel Inv...A Passive Islanding Detection Method for Neutral point clamped Multilevel Inv...
A Passive Islanding Detection Method for Neutral point clamped Multilevel Inv...IJECEIAES
 
A Review of Protection Schemes for Active Distribution Systems
A Review of Protection Schemes for Active Distribution SystemsA Review of Protection Schemes for Active Distribution Systems
A Review of Protection Schemes for Active Distribution SystemsUmair Shahzad
 

What's hot (18)

Maximum power point tracking algorithms for solar(pv) systems
Maximum power point tracking algorithms for solar(pv) systemsMaximum power point tracking algorithms for solar(pv) systems
Maximum power point tracking algorithms for solar(pv) systems
 
Variable Frequency on Wireless Power Transfer for Pacemaker using Embedded Te...
Variable Frequency on Wireless Power Transfer for Pacemaker using Embedded Te...Variable Frequency on Wireless Power Transfer for Pacemaker using Embedded Te...
Variable Frequency on Wireless Power Transfer for Pacemaker using Embedded Te...
 
Design of vco using current mode logic with low supply
Design of vco using current mode logic with low supplyDesign of vco using current mode logic with low supply
Design of vco using current mode logic with low supply
 
Design of vco using current mode logic with low supply sensitivity
Design of vco using current mode logic with low supply sensitivityDesign of vco using current mode logic with low supply sensitivity
Design of vco using current mode logic with low supply sensitivity
 
Low Voltage Ride-through Capability Enhancement of Doubly Fed Induction Gener...
Low Voltage Ride-through Capability Enhancement of Doubly Fed Induction Gener...Low Voltage Ride-through Capability Enhancement of Doubly Fed Induction Gener...
Low Voltage Ride-through Capability Enhancement of Doubly Fed Induction Gener...
 
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
 
Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...
 
Improved Power Gating Technique for Leakage Power Reduction
Improved Power Gating Technique for Leakage Power ReductionImproved Power Gating Technique for Leakage Power Reduction
Improved Power Gating Technique for Leakage Power Reduction
 
H010225257
H010225257H010225257
H010225257
 
Anti-islanding
Anti-islandingAnti-islanding
Anti-islanding
 
Power Gating
Power GatingPower Gating
Power Gating
 
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveySub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
 
Islands in power systems
Islands in power systemsIslands in power systems
Islands in power systems
 
anti islanding technology(passive)
anti islanding technology(passive)anti islanding technology(passive)
anti islanding technology(passive)
 
Island Detection and Control Techniques
Island Detection and Control Techniques Island Detection and Control Techniques
Island Detection and Control Techniques
 
Decentralized cooperative control strategy of microsources for stabilizing au...
Decentralized cooperative control strategy of microsources for stabilizing au...Decentralized cooperative control strategy of microsources for stabilizing au...
Decentralized cooperative control strategy of microsources for stabilizing au...
 
A Passive Islanding Detection Method for Neutral point clamped Multilevel Inv...
A Passive Islanding Detection Method for Neutral point clamped Multilevel Inv...A Passive Islanding Detection Method for Neutral point clamped Multilevel Inv...
A Passive Islanding Detection Method for Neutral point clamped Multilevel Inv...
 
A Review of Protection Schemes for Active Distribution Systems
A Review of Protection Schemes for Active Distribution SystemsA Review of Protection Schemes for Active Distribution Systems
A Review of Protection Schemes for Active Distribution Systems
 

Similar to IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power Gating Technology

Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueIJERA Editor
 
An Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsAn Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsIJTET Journal
 
Low Power Gasp Circuits using Power Gating
Low Power Gasp Circuits using Power GatingLow Power Gasp Circuits using Power Gating
Low Power Gasp Circuits using Power GatingIRJET Journal
 
IRJET- High-Efficiency Three-Level Stacked-Neutral-Point-Clamped Grid-Tie...
IRJET-  	  High-Efficiency Three-Level Stacked-Neutral-Point-Clamped Grid-Tie...IRJET-  	  High-Efficiency Three-Level Stacked-Neutral-Point-Clamped Grid-Tie...
IRJET- High-Efficiency Three-Level Stacked-Neutral-Point-Clamped Grid-Tie...IRJET Journal
 
Solid State Fault Current Limiter for Improvement of Smart Grid Performance
Solid State Fault Current Limiter for Improvement of Smart Grid PerformanceSolid State Fault Current Limiter for Improvement of Smart Grid Performance
Solid State Fault Current Limiter for Improvement of Smart Grid PerformanceIRJET Journal
 
A NOVEL LOW POWER HIGH DYNAMIC THRESHOLD SWING LIMITED REPEATER INSERTION FOR...
A NOVEL LOW POWER HIGH DYNAMIC THRESHOLD SWING LIMITED REPEATER INSERTION FOR...A NOVEL LOW POWER HIGH DYNAMIC THRESHOLD SWING LIMITED REPEATER INSERTION FOR...
A NOVEL LOW POWER HIGH DYNAMIC THRESHOLD SWING LIMITED REPEATER INSERTION FOR...VLSICS Design
 
International Journal of Computational Engineering Research (IJCER)
International Journal of Computational Engineering Research (IJCER)International Journal of Computational Engineering Research (IJCER)
International Journal of Computational Engineering Research (IJCER)ijceronline
 
OVER-CURRENT RELAYS IN VOLTAGE DISTRIBUTION
OVER-CURRENT RELAYS IN VOLTAGE DISTRIBUTIONOVER-CURRENT RELAYS IN VOLTAGE DISTRIBUTION
OVER-CURRENT RELAYS IN VOLTAGE DISTRIBUTIONIRJET Journal
 
Design of Low-Voltage Distributed Photovoltaic Systems Oriented to Improve ...
Design of Low-Voltage Distributed Photovoltaic Systems Oriented to   Improve ...Design of Low-Voltage Distributed Photovoltaic Systems Oriented to   Improve ...
Design of Low-Voltage Distributed Photovoltaic Systems Oriented to Improve ...IRJET Journal
 
Renewable Energy Harvesting Using SuperCapacitor
Renewable Energy Harvesting Using SuperCapacitorRenewable Energy Harvesting Using SuperCapacitor
Renewable Energy Harvesting Using SuperCapacitorIRJET Journal
 
IRJET- Review: Different Technology for Distributed Power Flow Controller
IRJET- Review: Different Technology for Distributed Power Flow ControllerIRJET- Review: Different Technology for Distributed Power Flow Controller
IRJET- Review: Different Technology for Distributed Power Flow ControllerIRJET Journal
 
Vlsi implementation of a programmable low drop out voltage regulator
Vlsi implementation of a programmable low drop out voltage regulatorVlsi implementation of a programmable low drop out voltage regulator
Vlsi implementation of a programmable low drop out voltage regulatoreSAT Journals
 
Multi-power rail FLR configurable for Digital Circuits
Multi-power rail FLR configurable for Digital CircuitsMulti-power rail FLR configurable for Digital Circuits
Multi-power rail FLR configurable for Digital CircuitsIRJET Journal
 
IRJET- Improved Electrical Power Supply to Trans-Amadi Industrial Layout, Por...
IRJET- Improved Electrical Power Supply to Trans-Amadi Industrial Layout, Por...IRJET- Improved Electrical Power Supply to Trans-Amadi Industrial Layout, Por...
IRJET- Improved Electrical Power Supply to Trans-Amadi Industrial Layout, Por...IRJET Journal
 
Single core configurations of saturated core fault current limiter performanc...
Single core configurations of saturated core fault current limiter performanc...Single core configurations of saturated core fault current limiter performanc...
Single core configurations of saturated core fault current limiter performanc...IJECEIAES
 
Two parallel single phase rectifiers by using single
Two parallel single phase rectifiers by using singleTwo parallel single phase rectifiers by using single
Two parallel single phase rectifiers by using singleeSAT Publishing House
 
Two parallel single phase rectifiers by using single phase to three phase ind...
Two parallel single phase rectifiers by using single phase to three phase ind...Two parallel single phase rectifiers by using single phase to three phase ind...
Two parallel single phase rectifiers by using single phase to three phase ind...eSAT Journals
 

Similar to IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power Gating Technology (20)

Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
 
Ar4102321325
Ar4102321325Ar4102321325
Ar4102321325
 
P358387
P358387P358387
P358387
 
An Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsAn Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic Circuits
 
Low Power Gasp Circuits using Power Gating
Low Power Gasp Circuits using Power GatingLow Power Gasp Circuits using Power Gating
Low Power Gasp Circuits using Power Gating
 
IRJET- High-Efficiency Three-Level Stacked-Neutral-Point-Clamped Grid-Tie...
IRJET-  	  High-Efficiency Three-Level Stacked-Neutral-Point-Clamped Grid-Tie...IRJET-  	  High-Efficiency Three-Level Stacked-Neutral-Point-Clamped Grid-Tie...
IRJET- High-Efficiency Three-Level Stacked-Neutral-Point-Clamped Grid-Tie...
 
Solid State Fault Current Limiter for Improvement of Smart Grid Performance
Solid State Fault Current Limiter for Improvement of Smart Grid PerformanceSolid State Fault Current Limiter for Improvement of Smart Grid Performance
Solid State Fault Current Limiter for Improvement of Smart Grid Performance
 
A NOVEL LOW POWER HIGH DYNAMIC THRESHOLD SWING LIMITED REPEATER INSERTION FOR...
A NOVEL LOW POWER HIGH DYNAMIC THRESHOLD SWING LIMITED REPEATER INSERTION FOR...A NOVEL LOW POWER HIGH DYNAMIC THRESHOLD SWING LIMITED REPEATER INSERTION FOR...
A NOVEL LOW POWER HIGH DYNAMIC THRESHOLD SWING LIMITED REPEATER INSERTION FOR...
 
H33038041
H33038041H33038041
H33038041
 
International Journal of Computational Engineering Research (IJCER)
International Journal of Computational Engineering Research (IJCER)International Journal of Computational Engineering Research (IJCER)
International Journal of Computational Engineering Research (IJCER)
 
OVER-CURRENT RELAYS IN VOLTAGE DISTRIBUTION
OVER-CURRENT RELAYS IN VOLTAGE DISTRIBUTIONOVER-CURRENT RELAYS IN VOLTAGE DISTRIBUTION
OVER-CURRENT RELAYS IN VOLTAGE DISTRIBUTION
 
Design of Low-Voltage Distributed Photovoltaic Systems Oriented to Improve ...
Design of Low-Voltage Distributed Photovoltaic Systems Oriented to   Improve ...Design of Low-Voltage Distributed Photovoltaic Systems Oriented to   Improve ...
Design of Low-Voltage Distributed Photovoltaic Systems Oriented to Improve ...
 
Renewable Energy Harvesting Using SuperCapacitor
Renewable Energy Harvesting Using SuperCapacitorRenewable Energy Harvesting Using SuperCapacitor
Renewable Energy Harvesting Using SuperCapacitor
 
IRJET- Review: Different Technology for Distributed Power Flow Controller
IRJET- Review: Different Technology for Distributed Power Flow ControllerIRJET- Review: Different Technology for Distributed Power Flow Controller
IRJET- Review: Different Technology for Distributed Power Flow Controller
 
Vlsi implementation of a programmable low drop out voltage regulator
Vlsi implementation of a programmable low drop out voltage regulatorVlsi implementation of a programmable low drop out voltage regulator
Vlsi implementation of a programmable low drop out voltage regulator
 
Multi-power rail FLR configurable for Digital Circuits
Multi-power rail FLR configurable for Digital CircuitsMulti-power rail FLR configurable for Digital Circuits
Multi-power rail FLR configurable for Digital Circuits
 
IRJET- Improved Electrical Power Supply to Trans-Amadi Industrial Layout, Por...
IRJET- Improved Electrical Power Supply to Trans-Amadi Industrial Layout, Por...IRJET- Improved Electrical Power Supply to Trans-Amadi Industrial Layout, Por...
IRJET- Improved Electrical Power Supply to Trans-Amadi Industrial Layout, Por...
 
Single core configurations of saturated core fault current limiter performanc...
Single core configurations of saturated core fault current limiter performanc...Single core configurations of saturated core fault current limiter performanc...
Single core configurations of saturated core fault current limiter performanc...
 
Two parallel single phase rectifiers by using single
Two parallel single phase rectifiers by using singleTwo parallel single phase rectifiers by using single
Two parallel single phase rectifiers by using single
 
Two parallel single phase rectifiers by using single phase to three phase ind...
Two parallel single phase rectifiers by using single phase to three phase ind...Two parallel single phase rectifiers by using single phase to three phase ind...
Two parallel single phase rectifiers by using single phase to three phase ind...
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTUREIRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsIRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASIRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProIRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemIRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesIRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web applicationIRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignIRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxhumanexperienceaaa
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAbhinavSharma374939
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 

Recently uploaded (20)

Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog Converter
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 

IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power Gating Technology

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 5321 RELIABILITY ENHANCEMENT OF LOW-POWER SEQUENTIAL CIRCUITS USING POWER GATING TECHNOLOGY Deepa Jose1, Juby Raju2, Saju A3 1PG Scholar, Dept of ECE, MCET, Kerala 2Associate professor, Dept of ECE, MCET, Kerala 3Research Scholar, VTU, Karnataka ---------------------------------------------------------------------***--------------------------------------------------------------------- Abstract – This paper deals with low-powerASICdesigns with power gating technology. They compromises with high performance and low area and power consumption, taking advantage of both latch and flip-flop features. While the circuit reliability and robustness against different process, voltage, and temperature variations are consideredascritical issues with current technologies, no significant reliability study was proposed for traditional transmission gate pulsed latch circuits. In this paper, present a study on the effect of different PVT variations on the behavior traditional transmission gate pulsed latch circuits with power gating technology , while keeping their main advantages of high performance, low power, and smallarea. Theproposeddesigns have negligible power overhead when running at nominal supply voltage, and they have higher yield per unit power when compared with the traditional design at different voltages and temperatures. The proposed circuit is implemented using Tanner v13 in 45 nm technology. Key Words: Pulsed latches, power gating techniques, low power, voltage scaling etc 1. INTRODUCTION FLIP-FLOPS are considered the most popular sequential elements used in conventional ASIC designs. This is mainly because of the simplicity of their timing model,whichmakes the design and timing verification processes much easier. The high performance custom designs tend to use latches due to their lower timing in some designs. Although latch based designs are typically robust to clock skew and jitter (due to the latch transparency period), latches have a complicated timing model, which, in turn, complicates the design and the verification processes and increases the risk of hold time violations, especially with PVT variations. Pulsed latches have been always proposed to decrease power consumption and increase performance. In PLs with relatively wide pulse widths were used to allow cycle borrowing and tolerate any clock skew. In order, to compensate for any data before the end of the pulse. The structure of transmission gate pulsed latch is similar to differential latch structure, due to the presence of weak PMOS transistors in the master latch it is very difficult for the transition to take place when there is a change in input. Fig -1: Simple diagram of a transmission gate pulsed latch Pulsed latches are used in above figured;singlepulsercan be shared by more than one latch. The advantagesofthiscircuit are area and power consumption savings.Pulser usage can eliminate the need for some of theclock buffers inthecircuit. 2. Overview of Power Gating Technology Power gating is a technique used in integrated circuitdesign to reduce power consumption, by shutting off the current to blocks of the circuit that are not in use. In addition to reducing stand-by or leakage power, power gating has the benefit of enabling Iddq testing. Power Gating is effectivefor reducing leakage power. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage power of the chip. This temporary shutdown time can also call as "low power mode" or "inactive mode". When circuit blocks are required for operation once again they are activated to "activemode". These two modes are switched at the appropriate time and in the suitable manner to maximize power performance while minimizing impacttoperformance.Thusgoal ofpower gating is to minimize leakage power by temporarily cutting power off to selective blocks that are not required in that mode. 2.1 Fine-grain power gating Adding a sleep transistor to every cell that is to be turned off imposes a large area penalty, and individually gating the power of every cluster of cells creates timing issues introduced by inter-clustervoltagevariationthataredifficult to resolve. Fine-grain power gating encapsulates the switching transistor as a part of the standard cell logic.
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 5322 Switching transistors are designed by either library IP vendor or standard cell designer. The size of the gate control is designed with the worst case consideration that this circuit will switch during every clock cycle resulting in a huge area impact. Some of the recent designs implement the fine-grain power gating selectively, but only for the low threshold cells. If the technology allows multiple low threshold libraries, the use of low threshold devices is minimum in the design (20%), so that the area impact can be reduced. When using power gates on the low threshold cells the output must be isolatedifthenextstageis a high threshold cell. Otherwise it can cause the neighboring high threshold cell to have leakage when output goes to an unknown state due to power gating. Fig -2: Fine-grain power gating Gate control slew rate constraint is achieved by having a buffer distribution tree for the control signals. The buffers must be chosen from a set of always on buffers (buffers without the gate control signal) designed with high threshold cells. The inherent difference between whena cell switches off with respect to another, minimizes the rush current during switch-on and switch-off. 2.2 Coarse-grain power gating The coarse-grained approach implements the grid style sleep transistors which drives cells locally through shared virtual power networks. This approach is less sensitive to PVT variation, introduces less IR-drop variation, and imposes a smaller area overhead than the cell- or cluster- based implementations. In coarse-grain power gating, the power-gating transistor is a part of the power distribution network rather than the standard cell. 3. OVERVIEW OF ARCHITECTURE The new architecture for transmission gate pulsed latch is designed by power gating technology. Here we used stack technique. In which the basic circuit is connected with both header an footer switches. The PMOS transistorisconnected to the vdd. During active mode the header switch will be conduct and transfer the drain voltage to the given circuit. But in stand by mode it cannot work. So, that leakage power is reduced. The similar operation is carried out in footer switch, it will conduct on the stand by mode .The NMOS transistor is connected as a footer switch. Due to this power dissipation is grounded. Fig -3 PROPOSED DIAGRAM 3.1 SIMULATION RESULT Fig -4:Simulation of proposed design Fig -5:OUTPUT WAVEFORM
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 5323 Table -1: Comparison Table Voltage (vdd) Power TECHNOLOGY Traditional transmissi on gate pulsed latch 3v 4.27w 90nm Proposed system 5v 2.204w 45nm 3. CONCLUSION In this paper presented the effect of PVT variations on the pulsed latch performance in low power circuit. The circuit is implemented using Tanner v13 in 45 nm technology. The analysis considered both the pulser and the latchtoevaluate the reliability of the entire pulsed latch circuit. In addition, the benefits of having a power gating technique were discussed. Stack technique is used in the proposedsystem to reduce power. Finfet and CNT can be viewed as the future implantation for this design for standard cell ASICs. REFERENCES [1] M. Alioto, E. Consoli, and G. Palumbo, “Variations in nanometer CMOS flip-flops: Part Energy variability and impact of other sources of variations,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 3,pp. 835–843, Mar. 2015. [2] S. Paik, G.-J. Nam, and Y. Shin, “Implementation of pulsed-latch and pulsed-register circuits to minimize clocking power,” in Proc. IEEE/ACM Int. Conf. Comput.- Aided Design (ICCAD), Nov. 2011, pp. 640–646. [3] E. Consoli, G. Palumbo, J. M. Rabaey, and M. Alioto, “Novel class of energy-efficient very high-speed conditional push–pull pulsed latches,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 22, no. 7, pp. 1593– 1605, Jul. 2014. [4] M. Alioto, E. Consoli, and G. Palumbo, “Variations in nanometer CMOS flip-flops: Part II—Energy variability and impact of other sources of variations,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 3, pp. 835–843, Mar. 2015.