SlideShare a Scribd company logo
1 of 7
Download to read offline
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 09 | Sep 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 1465
Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power
Applications
T. Pradeep pal
1
, K. Anji babu
2
1,2
Department of ECE, A.K.R.G College of Engineering and Technology, Andhra Pradesh, India
------------------------------------------------------------------------***--------------------------------------------------------------------
Abstract - The usage of portable devices increasing
rapidly in the modern life has led us to focus our
attention to increase the performance of the SRAM
circuits, especially for low power applications. Basically
in six-Transistor (6T) SRAM cell either read or write
operation can be performed at a time whereas, in 7T
SRAM cell using single ended write operation and single
ended read operation both write and read operations
will be accomplished simultaneously at a time
respectively. When it comes to operate in sub threshold
region, single ended read operation will be degraded
severely and single ended write operation will be
severely degraded in terms of write-ability at lower
voltages. To encounter these complications, an eight
transistor SRAM cell is proposed. It performs single
ended read operation and single ended write operation
together even at sub threshold region down to 0.1V with
improved read-ability using read assist and improved
dynamic write-ability which helps in reducing the
consumption of power by attaining a lower data
retention voltage point. To reduce the total power
consumption in the circuits, two extra access transistors
are used in 8T SRAM cell which also helps in reducing
the overall delay.
Keywords: SRAM; Read-Ability; Write-Ability; Low Power;
Read Assist; Pass Transistors and Delay.
1. Introduction
Portable mobile electronic devices are mostly used in
day to day lives which are equipped with batteries [1].
Power consumption is one of the main design metric in
such devices. As power consump- tion increases, size of
the battery and cost also increases, which in turn effects
the compactness of the device. So, low power is the
major requirement for portable systems. On the other
side, Memory is the very important element in the
embedded electronic systems which consume major part
of system operation in terms of power. If the power
consumption of memory is reduced, total power con-
sumption [2] of system also reduced and hence size, cost,
life and maintenance of the battery will be reduced and
also system becomes more compact. Most of the
researchers concentrated on low power memories for
ultra-low power applications.
In CMOS technology, SRAM circuit plays a vital role in
digital sys- tems. The semiconductor type memory,
which has bi stable latching circuitry is said to be Static
Random Access Memory (SRAM). It can retain the data
for one bit. SRAM cells are used for micro con- trollers
and microprocessors. It mainly consists of two CMOS in-
verters with back to back connection, these inverters
act as a memory cell whereas the remaining transistors
act as access tran- sistors. Bit and bit bar lines act as
inputs and outputs. Every SRAM cell performs three
basic operations, such as read, standby and write
operations. In order to hold the data and to preserve the
circuit in idle position, standby operation is performed.
With the help of read-ability and write-ability, both
read and write operations are per- formed in SRAM to
read and write the data. In this paper, the power and
delay are reduced in 8T SRAM cells when compared to
stand- ard 6T SRAM cell and conventional 8T SRAM.
Delay is reduced by using read assist technique and
Power is condensed by using two extra pass transistors.
Here, the length and width of PMOS with 0.13µm and
0.52µm are used and the length and width of NMOS with
0.13µm and 0.26µm are used respectively.
2. Literature review
Gupta et al, [3] was proposed a scheme to increase the
performance of seven transistor SRAM cell by
performing read operation effi- ciently. But there is a
delay during the read operation and the power
dissipation is also very high. Budhaditya et al,[4]
implemented a single bit line 6T SRAM cell, where there
is high delay due to which the performance of read and
write operations are severely degraded. Yang et al,[5]
implemented 7T SRAM cell in Fin FET technology, but
the power consumption is high. For low power
applications An- sari et al, [6] designed a 7T SRAM cell,
but didn’t calculate power and overall delay. For low
power applications, an 8T SRAM cell is implemented in
this paper by using Read assist 8T and two extra access
transistors of 8T SRAM. We calculated and compared
SRAM’s total power dissipation, read time, overall
delay, write time and achieved low power consumption
and lower delay com- paring to the earlier works.
3. Low power design requirements
For portable devices, power is an important design
consideration which plays a major role while designing
VLSI circuits. There are different considerations that one
would like to optimize about power. They are area,
speed, testability, power dissipation, cost, risk and
shielding. The power consideration is taken as an aspect
along with the other two parameters: speed and chip
design.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 09 | Sep 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 1466
a) Area
Coming to the design considerations, area is directly
proportional to the power. If the power consumption is
reduced then the size of the battery in portable devices
gets reduced. Which is in turns the area of chip also gets
reduced.
b) Speed
If the power consumption is high in the circuit then the
maintenance of the system should be done more times.
As the maintenance in- creases, it yields to degradence of
the speed.
c) Testability
A device is to be tested before being used. The device can
be easily testable when it comes to be operated at lower
voltages.
d) Power dissipation
If the circuit dissipates too much power then it becomes
too hot or stops working. So, power minimization is the
primary importance for portable devices equipped with
batteries.
e) Cost
It is the main factor that should be in mind while
designing the cir- cuit. If the utilization of the power is
increased then automatically the cost factor also
increases.
f) Risk
The device which consumes high power is more risky
when com- pared to the lower power electronic devices.
g) Shielding
For high voltage devices, the shielding for the circuit is
compulsory to avoid power fluctuations whereas for low
voltage devices high level shielding is not necessary.
4. Basic SRAM cell
In general, every SRAM cell consists of several MOSFET’s.
It has two cross coupled inverters and access pass
transistors. These two inverters acts as a memory cell
which is further transmitted or re- ceived to single bit of
information. The memory cell will be oper- ated by using
access pass transistors; else it is in hold state. When-
ever the access pass transistors are enabled by using
word line, the memory cells operates both read and
write operations. Bit line (BL) and bit line bar (BLB) are
the inputs of the standard 6T SRAM cell, which are
passing through the access pass transistors and to get
the two outputs Q and QB (Q bar) of SRAM cell as shown
in Fig 1.
Fig. 1: Standard 6T SRAM Cell.
a) 6T SRAM cell working
In standard 6T SRAM cell, the two inverters are
connected in back to back connection. The output of the
first inverter is connected to the input of the second
inverter and vice versa. Basically, SRAM performs three
operations which are Hold, Read and Write opera- tions.
Whenever the two access pass transistors of the word
line (WL) are in OFF state, then the bit line and bit line
bar (BL & BLB) are also in OFF condition, hence the
memory cell is in hold state .If both the bit and bit bar
lines act as inputs then write operation can be
performed. If both the bit and bit bar lines act as outputs
then the read operation can be performed.
b) Read operation
In this circuit, if Q=”1” and QB=”0” then the word line
gets ena- bled. Now bit and bit bar lines act as
outputs and they are pre- charged [10] to VDD then
Q=”0” and bit bar voltage is VDD. The difference between
bit bar voltage and Q voltage has some altera- tion in
voltage which results in the decrease of bit bar voltage.
Bit and bit bar lines act as a comparator when the
bit bar value de- creases then the output becomes “1”.
c) Write operation
If Q=”0” and QB=”1” then the word line gets enabled.
Now bit and
bit bar lines act as inputs and bit bar line is connected to
the ground. Now the voltage difference of the QB and bit
bar will be less than threshold voltage M3 which results
M3 in OFF state and M1 in ON state then the output
Q=“1”.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 09 | Sep 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 1467
5. Implementation of proposed 8T SRAM cells
An 8T SRAM cell contains two inverters, one inverter
(M1-M3) and another one (M2-M4), which are linked
mutually. Single-ended write operation is performed
with the help of Access transistors (M5, M6) [11] and
Single-ended read operation is performed by us- ing two
NMOS transistors (M7, M8). The write bit line bar, write
bit line and write word line (WBLB, WBL, WWL) are
used for ac- complishing write operation. For achieving
the read operation, a read word line (RWL) and a read
bit line (RBL) are used. In this cell both read and write
operations can be performed at sub-thresh- old region to
an operating voltage at 0.1V.The structure of the pro-
posed 8T SRAM cell is shown in Fig 2.
Fig. 2: Conventional 8T SRAM Cell.
a) 8T SRAM cell with Read assist
Read assist [12] 8T SRAM cell improves the readability
by using read port (M7&M8).and gate terminal of the
first inverter. If NMOS is connected to the ground then
the node value of NMOS becomes “zero”. As the Read
Word Line (RWL) is enabled, the Read Bit Line (RBL)
increases to high and by storing in Q node, the M7
NMOS is either turned ON or OFF. If the cell stores “1”,
then M7 is turned OFF and the bit line remained to be
high. If we observe Fig 3, for bit line discharging through
M7 and M8 in SRAM cell stores “0”.
The two ends of operations in 8T SRAM cell are single
ended read operation and single ended write operation
respectively. For single ended write operation, Write
Word Line (WWL) and the Write Bit Lines (WBL) are
used. For single ended read operation, Read Word Line
(RWL) and Read Bit Lines (RBL) are used.
Fig. 3: Proposed 8T SRAM with Read Assist.
b) Proposed 8T SRAM using two extra pass transistors
In this technique, two extra pass transistors are merged
at the two ends of the 8T SRAM cell to disrupt any short
circuitry in the cell itself. If we observe Fig 4, In between
VDD [13] and the cell, one
PMOS is inserted with logic“0” [14] at the gate terminal.
Likewise, an NMOS is inserted between the ground and
the cell having logic “1” at the gate terminal. The total
power [15] can be reduced in the 8T SRAM cell by using
inserted two pass transistors.
Fig. 4: Proposed 8T SRAM Using [2] Extra Pass
Transistors.
6. Simulation results and discussions
The simulation results of Standard 6T SRAM cell,
Conventional 8T SRAM, 8T SRAM with Read Assist and
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 09 | Sep 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 1468
8T SRAM using two Extra Pass Transistors are figured
below. The waveforms and Fig 5, Fig
6, Fig 7, Fig 8 represents write and read abilities
respectively.
Fig 5 represents the timing waveforms of Standard 6T
SRAM cell and it consists of three inputs as word line
(WL), bit line (BL) and bit line bar (BLB) and also two
outputs as Q and Q bar (QB). Bit line (BL) and bit line bar
(BLB) are act as inputs. Q and Q bar (QB) are act as
outputs.
Fig. 5: A Waveform of Standard 6T SRAM Cell.
The write delay is measured between bit line bar
(BLB) and Q whereas, the read delay is measured
between bit line (BL) and Q bar (QB) as shown in Fig 5.
Fig 6, Fig 7, Fig 8 represent waveforms of Standard 6T
SRAM cell,
Conventional 8T SRAM, 8T SRAM with Read Assist
and 8T SRAM using two Extra Pass Transistors. These
SRAM cells con- sists of six inputs as write word line
(WWL), write word line bar (WWLB), write bit line
(WBL) and write bit line bar (WBLB), read word line
(RWL) and read bit line (RBL) and also two outputs Q
and Q bar (QB).
The write delay is measured between write bit line
(WBL) and Q bar (QB). The read delay is measured
between read bit line (RBL) and Q as shown in Fig 4,5,6,7
respectively.
Fig. 6: Waveform of Conventional 8T SRAM Cell.
Fig. 7: Waveform for Proposed 8T SARM with Read
Assist.
Fig. 8: Waveform for Proposed 8T SRAM Using [2] Extra
Pass Transistors.
i) Delay Analysis
Table I: Represents the Overall Delay Between Input and
Output of SRAM Cells. The Proposed SRAM Cell Designs
Have Lesser Delay Compared to Existing SRAM Cell
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 09 | Sep 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 1469
ii) Power Analysis
Table 2: Depicts the Total Power Consumption of the
SRAM Cells. The Proposed SRAM Cell Designs Have
Less Power Compared to Existing SRAM Cells
If we observe
iii) Write and Read Time Analysis
Table 3: It Represents Write and Read Delay Time
Analysis at Lower Op- erating Voltage 0.1V. The
Proposed SRAM Cell Design Have Less Write and Read
Delays Compared with the Existing SRAM Cells
Fig 9, Fig 10, Fig 11 and Fig 12 are the layouts of
standard 6T SRAM cell, conventional 8T SRAM,
proposed 8T SRAM with read assist and proposed 8T
SRAM using two Extra Pass Transistors re- spectively.
The layouts are physically validated by calibre tool of
mentor graphics which contains DRC, LVS and PEX
simulation and it can be used for manufacturing VLSI
chips.
Fig. 9: Layout for Standard 6T SRAM Cell.
Fig. 10: Layout for Conventional 8T SRAM Cell
Fig. 11: Layout for Proposed 8T SRAM with Read Assist.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 09 | Sep 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 1470
Fig. 12: Layout for 8T SRAM Using Two Extra Pass
Transistors.
7. Conclusion
The proposed 8T SRAM cell can be very useful for ultra-low
power applications operating voltage of 0.1V with reduced
delay. The con- ventional 8T SRAM cell is modified in two
ways to optimize power and delay. First, 8T with Read assist
technique and second, 8T SRAM using two Extra pass
transistors. These results are compared with Standard 6T
SRAM and Conventional 8T SRAM cells. The delay of the
proposed 8T SRAM with Read assist is reduced by 81.89%
compared to 6T SRAM cell and reduced by 71.36% com-
pared to Conventional 8T SRAM cell. The delay of the
proposed 8T SRAM using two Extra pass transistors is
reduced by 43.14% compared to 6T SRAM cell and reduced
by 9.93% compared to Conventional 8T SRAM cell. The
power of the proposed 8T SRAM with Read assist is reduced
by 34.5% compared to 6T SRAM cell and reduced by 30.71%
compared to Conventional 8T SRAM cell. The power of the
proposed 8T SRAM using two Extra pass transis- tors is
reduced by 44.42% compared to 6T SRAM cell and reduced
by 41.20% compared to Conventional 8T SRAM cell. The
ELDO simulation tool is used to verify these circuits. The
schematic cir- cuits and layouts are designed with the help of
Mentor Graphics– a laboratory software tool.
References
1) B V V Satyanarayana ,M.Durga Prakash, “Analysis of
Heterojunc- tion Tunneling Architectures for Ultra
Low Power Applications,” in Ponte International
Journal of Sciences and Research Vol. 73 | No. 10 |
Oct 2017.
2) K. Vanama, R. Gunnuthula and G. Prasad, "Design
of low power stable SRAM cell," 2014
International Conference on Circuits, Power and
Computing Technologies [ICCPCT-2014],
Nagercoil, 2014, pp. 1263-1267.
3) S. Gupta, K. Gupta and N. Pandey, "A 32-nm
Subthreshold 7T SRAM Bit Cell With Read
Assist," in IEEE Transactions on Very Large
Scale Integration (VLSI) Systems, vol. 25, no. 12,
pp. 3473-3483, Dec. 2017.
4) B. Majumdar and S. Basu, "Low power single
bitline 6T SRAM cell with high read stability,"
2011 International Conference on Recent
Trends in Information Systems, Kolkata, 2011,
pp. 169-174.
5) Y. Yang, H. Jeong, S. C. Song, J. Wang, G. Yeap and
S. O. Jung, "Single Bit-Line 7T SRAM Cell for
Near-Threshold Voltage Opera- tion With
Enhanced Performance and Energy in 14 nm
FinFET Technology," in IEEE Transactions on
Circuits and Systems I: Reg- ular Papers, vol. 63,
no. 7, pp. 1023-1032, July 2016.
6) A. Q. Ansari and J. A. Ansari, "Design of 7T sram
cell for low power applications," 2015 Annual
IEEE India Conference (INDICON), New Delhi,
2015, pp. 1-4.
7) X. Yang and K. Mohanram, "Robust 6T Si
tunneling transistor SRAM design," 2011
Design, Automation & Test in Europe, Greno-
ble, 2011, pp. 1-6.
8) M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi,
Y. Nakase, and H. Shinohara, “A 45 nm 0.6 V
cross-point 8 T SRAM with negative biased
read/write assist,” in Proc. IEEE Symp. VLSI
Circuits, Jun. 2009, pp. 158–159.
9) K. Osada et al., “Universal-Vdd 0.65–2.0-V
32-kB cache using a voltageadapted timing-
generation scheme and a lithographically
symmetrical cell,” IEEE J. Solid-State Circuits,
vol. 36, no. 11, pp. 1738–1744, Nov. 2001.
10) H. Jeong, T. Kim, T. Song, G. Kim, and S.-O. Jung,
“Trip-point bit- line precharge sensing scheme
for single-ended SRAM,” IEEE Trans. Very
Large Scale Integr. (VLSI) Syst., vol. 23, no.7,
pp. 1370–1374, Jul. 2015.
11) S. A. Tawfik and V. Kursun, “Low power and
robust 7 T dual-V t SRAMcircuit,” in Proc. IEEE
Int. Symp. Circuits Syst. (ISCAS), May 2008, pp.
1452–1455.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 09 | Sep 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 1471
12) E. Seevinck et al., “Static-noise margin analysis of
MOS SRAM cells,”IEEE J. Solid-State Circuits, vol. SC-
22, no. 5, pp. 748–754, Oct. 1987.
13) N. Edri, S. Fraiman, A. Teman, and A. Fish, “Data
retention voltage detection for minimizing the
standby power of SRAM arrays,” in Proc. IEEE
27th Conv. Elect. Electron. Eng. Israel (IEEEI),
Nov. 2012, pp. 1–5.
14) A Novel Virtual Grounding Based Read-Error
Reduction Technique in SRAM” International Journal
of Research in Computer and Com- munication
Technology, Vol 2, Issue 7, July-2013.
15) M. Mamidipaka et.al “Leakage Power
Estimation in SRAMs,” Motorola Co., USA, Sept.
2003.

More Related Content

What's hot

Write stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellWrite stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellMr Santosh Kumar Chhotray
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMJose Angel Mitma Mollisaca
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bguchiportal
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM DesignAalay Kapadia
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuitsijsrd.com
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872RoobanS4
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationTeam-VLSI-ITMU
 
A Review on Selection of Proper Busbar Arrangement for Typical Substation (Bu...
A Review on Selection of Proper Busbar Arrangement for Typical Substation (Bu...A Review on Selection of Proper Busbar Arrangement for Typical Substation (Bu...
A Review on Selection of Proper Busbar Arrangement for Typical Substation (Bu...IRJET Journal
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved responseeSAT Publishing House
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Two parallel single phase rectifiers by using single
Two parallel single phase rectifiers by using singleTwo parallel single phase rectifiers by using single
Two parallel single phase rectifiers by using singleeSAT Publishing House
 
Two parallel single phase rectifiers by using single phase to three phase ind...
Two parallel single phase rectifiers by using single phase to three phase ind...Two parallel single phase rectifiers by using single phase to three phase ind...
Two parallel single phase rectifiers by using single phase to three phase ind...eSAT Journals
 
Design of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellDesign of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellIRJET Journal
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
 
Speed Control of Brushless DC Motor using Different Intelligence Schemes
Speed Control of Brushless DC Motor using Different Intelligence SchemesSpeed Control of Brushless DC Motor using Different Intelligence Schemes
Speed Control of Brushless DC Motor using Different Intelligence SchemesIRJET Journal
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
 

What's hot (19)

Write stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellWrite stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cell
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAM
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bgu
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM Design
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
Low power sram
Low power sramLow power sram
Low power sram
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
A Review on Selection of Proper Busbar Arrangement for Typical Substation (Bu...
A Review on Selection of Proper Busbar Arrangement for Typical Substation (Bu...A Review on Selection of Proper Busbar Arrangement for Typical Substation (Bu...
A Review on Selection of Proper Busbar Arrangement for Typical Substation (Bu...
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved response
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Two parallel single phase rectifiers by using single
Two parallel single phase rectifiers by using singleTwo parallel single phase rectifiers by using single
Two parallel single phase rectifiers by using single
 
Two parallel single phase rectifiers by using single phase to three phase ind...
Two parallel single phase rectifiers by using single phase to three phase ind...Two parallel single phase rectifiers by using single phase to three phase ind...
Two parallel single phase rectifiers by using single phase to three phase ind...
 
Design of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellDesign of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit Cell
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
Speed Control of Brushless DC Motor using Different Intelligence Schemes
Speed Control of Brushless DC Motor using Different Intelligence SchemesSpeed Control of Brushless DC Motor using Different Intelligence Schemes
Speed Control of Brushless DC Motor using Different Intelligence Schemes
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 

Similar to IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications

Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyIRJET Journal
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...idescitation
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...IRJET Journal
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
 
Performance analysis of NOR CAM cell using CMOS-HP, CMOS-LP and FinFET 16nm t...
Performance analysis of NOR CAM cell using CMOS-HP, CMOS-LP and FinFET 16nm t...Performance analysis of NOR CAM cell using CMOS-HP, CMOS-LP and FinFET 16nm t...
Performance analysis of NOR CAM cell using CMOS-HP, CMOS-LP and FinFET 16nm t...IRJET Journal
 
Optimized CAM Design
Optimized CAM DesignOptimized CAM Design
Optimized CAM DesignIJMER
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET Journal
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
Design and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power ApplicationDesign and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power ApplicationIRJET Journal
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tooleSAT Publishing House
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERIRJET Journal
 

Similar to IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications (20)

Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
 
Ijetcas14 542
Ijetcas14 542Ijetcas14 542
Ijetcas14 542
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
Performance analysis of NOR CAM cell using CMOS-HP, CMOS-LP and FinFET 16nm t...
Performance analysis of NOR CAM cell using CMOS-HP, CMOS-LP and FinFET 16nm t...Performance analysis of NOR CAM cell using CMOS-HP, CMOS-LP and FinFET 16nm t...
Performance analysis of NOR CAM cell using CMOS-HP, CMOS-LP and FinFET 16nm t...
 
Optimized CAM Design
Optimized CAM DesignOptimized CAM Design
Optimized CAM Design
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
Design and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power ApplicationDesign and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power Application
 
C0211520
C0211520C0211520
C0211520
 
Df33642645
Df33642645Df33642645
Df33642645
 
Df33642645
Df33642645Df33642645
Df33642645
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tool
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTUREIRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsIRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASIRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProIRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemIRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesIRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web applicationIRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignIRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Christo Ananth
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxupamatechverse
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 

Recently uploaded (20)

Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptx
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 

IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 09 | Sep 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 1465 Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications T. Pradeep pal 1 , K. Anji babu 2 1,2 Department of ECE, A.K.R.G College of Engineering and Technology, Andhra Pradesh, India ------------------------------------------------------------------------***-------------------------------------------------------------------- Abstract - The usage of portable devices increasing rapidly in the modern life has led us to focus our attention to increase the performance of the SRAM circuits, especially for low power applications. Basically in six-Transistor (6T) SRAM cell either read or write operation can be performed at a time whereas, in 7T SRAM cell using single ended write operation and single ended read operation both write and read operations will be accomplished simultaneously at a time respectively. When it comes to operate in sub threshold region, single ended read operation will be degraded severely and single ended write operation will be severely degraded in terms of write-ability at lower voltages. To encounter these complications, an eight transistor SRAM cell is proposed. It performs single ended read operation and single ended write operation together even at sub threshold region down to 0.1V with improved read-ability using read assist and improved dynamic write-ability which helps in reducing the consumption of power by attaining a lower data retention voltage point. To reduce the total power consumption in the circuits, two extra access transistors are used in 8T SRAM cell which also helps in reducing the overall delay. Keywords: SRAM; Read-Ability; Write-Ability; Low Power; Read Assist; Pass Transistors and Delay. 1. Introduction Portable mobile electronic devices are mostly used in day to day lives which are equipped with batteries [1]. Power consumption is one of the main design metric in such devices. As power consump- tion increases, size of the battery and cost also increases, which in turn effects the compactness of the device. So, low power is the major requirement for portable systems. On the other side, Memory is the very important element in the embedded electronic systems which consume major part of system operation in terms of power. If the power consumption of memory is reduced, total power con- sumption [2] of system also reduced and hence size, cost, life and maintenance of the battery will be reduced and also system becomes more compact. Most of the researchers concentrated on low power memories for ultra-low power applications. In CMOS technology, SRAM circuit plays a vital role in digital sys- tems. The semiconductor type memory, which has bi stable latching circuitry is said to be Static Random Access Memory (SRAM). It can retain the data for one bit. SRAM cells are used for micro con- trollers and microprocessors. It mainly consists of two CMOS in- verters with back to back connection, these inverters act as a memory cell whereas the remaining transistors act as access tran- sistors. Bit and bit bar lines act as inputs and outputs. Every SRAM cell performs three basic operations, such as read, standby and write operations. In order to hold the data and to preserve the circuit in idle position, standby operation is performed. With the help of read-ability and write-ability, both read and write operations are per- formed in SRAM to read and write the data. In this paper, the power and delay are reduced in 8T SRAM cells when compared to stand- ard 6T SRAM cell and conventional 8T SRAM. Delay is reduced by using read assist technique and Power is condensed by using two extra pass transistors. Here, the length and width of PMOS with 0.13µm and 0.52µm are used and the length and width of NMOS with 0.13µm and 0.26µm are used respectively. 2. Literature review Gupta et al, [3] was proposed a scheme to increase the performance of seven transistor SRAM cell by performing read operation effi- ciently. But there is a delay during the read operation and the power dissipation is also very high. Budhaditya et al,[4] implemented a single bit line 6T SRAM cell, where there is high delay due to which the performance of read and write operations are severely degraded. Yang et al,[5] implemented 7T SRAM cell in Fin FET technology, but the power consumption is high. For low power applications An- sari et al, [6] designed a 7T SRAM cell, but didn’t calculate power and overall delay. For low power applications, an 8T SRAM cell is implemented in this paper by using Read assist 8T and two extra access transistors of 8T SRAM. We calculated and compared SRAM’s total power dissipation, read time, overall delay, write time and achieved low power consumption and lower delay com- paring to the earlier works. 3. Low power design requirements For portable devices, power is an important design consideration which plays a major role while designing VLSI circuits. There are different considerations that one would like to optimize about power. They are area, speed, testability, power dissipation, cost, risk and shielding. The power consideration is taken as an aspect along with the other two parameters: speed and chip design.
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 09 | Sep 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 1466 a) Area Coming to the design considerations, area is directly proportional to the power. If the power consumption is reduced then the size of the battery in portable devices gets reduced. Which is in turns the area of chip also gets reduced. b) Speed If the power consumption is high in the circuit then the maintenance of the system should be done more times. As the maintenance in- creases, it yields to degradence of the speed. c) Testability A device is to be tested before being used. The device can be easily testable when it comes to be operated at lower voltages. d) Power dissipation If the circuit dissipates too much power then it becomes too hot or stops working. So, power minimization is the primary importance for portable devices equipped with batteries. e) Cost It is the main factor that should be in mind while designing the cir- cuit. If the utilization of the power is increased then automatically the cost factor also increases. f) Risk The device which consumes high power is more risky when com- pared to the lower power electronic devices. g) Shielding For high voltage devices, the shielding for the circuit is compulsory to avoid power fluctuations whereas for low voltage devices high level shielding is not necessary. 4. Basic SRAM cell In general, every SRAM cell consists of several MOSFET’s. It has two cross coupled inverters and access pass transistors. These two inverters acts as a memory cell which is further transmitted or re- ceived to single bit of information. The memory cell will be oper- ated by using access pass transistors; else it is in hold state. When- ever the access pass transistors are enabled by using word line, the memory cells operates both read and write operations. Bit line (BL) and bit line bar (BLB) are the inputs of the standard 6T SRAM cell, which are passing through the access pass transistors and to get the two outputs Q and QB (Q bar) of SRAM cell as shown in Fig 1. Fig. 1: Standard 6T SRAM Cell. a) 6T SRAM cell working In standard 6T SRAM cell, the two inverters are connected in back to back connection. The output of the first inverter is connected to the input of the second inverter and vice versa. Basically, SRAM performs three operations which are Hold, Read and Write opera- tions. Whenever the two access pass transistors of the word line (WL) are in OFF state, then the bit line and bit line bar (BL & BLB) are also in OFF condition, hence the memory cell is in hold state .If both the bit and bit bar lines act as inputs then write operation can be performed. If both the bit and bit bar lines act as outputs then the read operation can be performed. b) Read operation In this circuit, if Q=”1” and QB=”0” then the word line gets ena- bled. Now bit and bit bar lines act as outputs and they are pre- charged [10] to VDD then Q=”0” and bit bar voltage is VDD. The difference between bit bar voltage and Q voltage has some altera- tion in voltage which results in the decrease of bit bar voltage. Bit and bit bar lines act as a comparator when the bit bar value de- creases then the output becomes “1”. c) Write operation If Q=”0” and QB=”1” then the word line gets enabled. Now bit and bit bar lines act as inputs and bit bar line is connected to the ground. Now the voltage difference of the QB and bit bar will be less than threshold voltage M3 which results M3 in OFF state and M1 in ON state then the output Q=“1”.
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 09 | Sep 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 1467 5. Implementation of proposed 8T SRAM cells An 8T SRAM cell contains two inverters, one inverter (M1-M3) and another one (M2-M4), which are linked mutually. Single-ended write operation is performed with the help of Access transistors (M5, M6) [11] and Single-ended read operation is performed by us- ing two NMOS transistors (M7, M8). The write bit line bar, write bit line and write word line (WBLB, WBL, WWL) are used for ac- complishing write operation. For achieving the read operation, a read word line (RWL) and a read bit line (RBL) are used. In this cell both read and write operations can be performed at sub-thresh- old region to an operating voltage at 0.1V.The structure of the pro- posed 8T SRAM cell is shown in Fig 2. Fig. 2: Conventional 8T SRAM Cell. a) 8T SRAM cell with Read assist Read assist [12] 8T SRAM cell improves the readability by using read port (M7&M8).and gate terminal of the first inverter. If NMOS is connected to the ground then the node value of NMOS becomes “zero”. As the Read Word Line (RWL) is enabled, the Read Bit Line (RBL) increases to high and by storing in Q node, the M7 NMOS is either turned ON or OFF. If the cell stores “1”, then M7 is turned OFF and the bit line remained to be high. If we observe Fig 3, for bit line discharging through M7 and M8 in SRAM cell stores “0”. The two ends of operations in 8T SRAM cell are single ended read operation and single ended write operation respectively. For single ended write operation, Write Word Line (WWL) and the Write Bit Lines (WBL) are used. For single ended read operation, Read Word Line (RWL) and Read Bit Lines (RBL) are used. Fig. 3: Proposed 8T SRAM with Read Assist. b) Proposed 8T SRAM using two extra pass transistors In this technique, two extra pass transistors are merged at the two ends of the 8T SRAM cell to disrupt any short circuitry in the cell itself. If we observe Fig 4, In between VDD [13] and the cell, one PMOS is inserted with logic“0” [14] at the gate terminal. Likewise, an NMOS is inserted between the ground and the cell having logic “1” at the gate terminal. The total power [15] can be reduced in the 8T SRAM cell by using inserted two pass transistors. Fig. 4: Proposed 8T SRAM Using [2] Extra Pass Transistors. 6. Simulation results and discussions The simulation results of Standard 6T SRAM cell, Conventional 8T SRAM, 8T SRAM with Read Assist and
  • 4. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 09 | Sep 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 1468 8T SRAM using two Extra Pass Transistors are figured below. The waveforms and Fig 5, Fig 6, Fig 7, Fig 8 represents write and read abilities respectively. Fig 5 represents the timing waveforms of Standard 6T SRAM cell and it consists of three inputs as word line (WL), bit line (BL) and bit line bar (BLB) and also two outputs as Q and Q bar (QB). Bit line (BL) and bit line bar (BLB) are act as inputs. Q and Q bar (QB) are act as outputs. Fig. 5: A Waveform of Standard 6T SRAM Cell. The write delay is measured between bit line bar (BLB) and Q whereas, the read delay is measured between bit line (BL) and Q bar (QB) as shown in Fig 5. Fig 6, Fig 7, Fig 8 represent waveforms of Standard 6T SRAM cell, Conventional 8T SRAM, 8T SRAM with Read Assist and 8T SRAM using two Extra Pass Transistors. These SRAM cells con- sists of six inputs as write word line (WWL), write word line bar (WWLB), write bit line (WBL) and write bit line bar (WBLB), read word line (RWL) and read bit line (RBL) and also two outputs Q and Q bar (QB). The write delay is measured between write bit line (WBL) and Q bar (QB). The read delay is measured between read bit line (RBL) and Q as shown in Fig 4,5,6,7 respectively. Fig. 6: Waveform of Conventional 8T SRAM Cell. Fig. 7: Waveform for Proposed 8T SARM with Read Assist. Fig. 8: Waveform for Proposed 8T SRAM Using [2] Extra Pass Transistors. i) Delay Analysis Table I: Represents the Overall Delay Between Input and Output of SRAM Cells. The Proposed SRAM Cell Designs Have Lesser Delay Compared to Existing SRAM Cell
  • 5. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 09 | Sep 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 1469 ii) Power Analysis Table 2: Depicts the Total Power Consumption of the SRAM Cells. The Proposed SRAM Cell Designs Have Less Power Compared to Existing SRAM Cells If we observe iii) Write and Read Time Analysis Table 3: It Represents Write and Read Delay Time Analysis at Lower Op- erating Voltage 0.1V. The Proposed SRAM Cell Design Have Less Write and Read Delays Compared with the Existing SRAM Cells Fig 9, Fig 10, Fig 11 and Fig 12 are the layouts of standard 6T SRAM cell, conventional 8T SRAM, proposed 8T SRAM with read assist and proposed 8T SRAM using two Extra Pass Transistors re- spectively. The layouts are physically validated by calibre tool of mentor graphics which contains DRC, LVS and PEX simulation and it can be used for manufacturing VLSI chips. Fig. 9: Layout for Standard 6T SRAM Cell. Fig. 10: Layout for Conventional 8T SRAM Cell Fig. 11: Layout for Proposed 8T SRAM with Read Assist.
  • 6. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 09 | Sep 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 1470 Fig. 12: Layout for 8T SRAM Using Two Extra Pass Transistors. 7. Conclusion The proposed 8T SRAM cell can be very useful for ultra-low power applications operating voltage of 0.1V with reduced delay. The con- ventional 8T SRAM cell is modified in two ways to optimize power and delay. First, 8T with Read assist technique and second, 8T SRAM using two Extra pass transistors. These results are compared with Standard 6T SRAM and Conventional 8T SRAM cells. The delay of the proposed 8T SRAM with Read assist is reduced by 81.89% compared to 6T SRAM cell and reduced by 71.36% com- pared to Conventional 8T SRAM cell. The delay of the proposed 8T SRAM using two Extra pass transistors is reduced by 43.14% compared to 6T SRAM cell and reduced by 9.93% compared to Conventional 8T SRAM cell. The power of the proposed 8T SRAM with Read assist is reduced by 34.5% compared to 6T SRAM cell and reduced by 30.71% compared to Conventional 8T SRAM cell. The power of the proposed 8T SRAM using two Extra pass transis- tors is reduced by 44.42% compared to 6T SRAM cell and reduced by 41.20% compared to Conventional 8T SRAM cell. The ELDO simulation tool is used to verify these circuits. The schematic cir- cuits and layouts are designed with the help of Mentor Graphics– a laboratory software tool. References 1) B V V Satyanarayana ,M.Durga Prakash, “Analysis of Heterojunc- tion Tunneling Architectures for Ultra Low Power Applications,” in Ponte International Journal of Sciences and Research Vol. 73 | No. 10 | Oct 2017. 2) K. Vanama, R. Gunnuthula and G. Prasad, "Design of low power stable SRAM cell," 2014 International Conference on Circuits, Power and Computing Technologies [ICCPCT-2014], Nagercoil, 2014, pp. 1263-1267. 3) S. Gupta, K. Gupta and N. Pandey, "A 32-nm Subthreshold 7T SRAM Bit Cell With Read Assist," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 25, no. 12, pp. 3473-3483, Dec. 2017. 4) B. Majumdar and S. Basu, "Low power single bitline 6T SRAM cell with high read stability," 2011 International Conference on Recent Trends in Information Systems, Kolkata, 2011, pp. 169-174. 5) Y. Yang, H. Jeong, S. C. Song, J. Wang, G. Yeap and S. O. Jung, "Single Bit-Line 7T SRAM Cell for Near-Threshold Voltage Opera- tion With Enhanced Performance and Energy in 14 nm FinFET Technology," in IEEE Transactions on Circuits and Systems I: Reg- ular Papers, vol. 63, no. 7, pp. 1023-1032, July 2016. 6) A. Q. Ansari and J. A. Ansari, "Design of 7T sram cell for low power applications," 2015 Annual IEEE India Conference (INDICON), New Delhi, 2015, pp. 1-4. 7) X. Yang and K. Mohanram, "Robust 6T Si tunneling transistor SRAM design," 2011 Design, Automation & Test in Europe, Greno- ble, 2011, pp. 1-6. 8) M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi, Y. Nakase, and H. Shinohara, “A 45 nm 0.6 V cross-point 8 T SRAM with negative biased read/write assist,” in Proc. IEEE Symp. VLSI Circuits, Jun. 2009, pp. 158–159. 9) K. Osada et al., “Universal-Vdd 0.65–2.0-V 32-kB cache using a voltageadapted timing- generation scheme and a lithographically symmetrical cell,” IEEE J. Solid-State Circuits, vol. 36, no. 11, pp. 1738–1744, Nov. 2001. 10) H. Jeong, T. Kim, T. Song, G. Kim, and S.-O. Jung, “Trip-point bit- line precharge sensing scheme for single-ended SRAM,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 23, no.7, pp. 1370–1374, Jul. 2015. 11) S. A. Tawfik and V. Kursun, “Low power and robust 7 T dual-V t SRAMcircuit,” in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), May 2008, pp. 1452–1455.
  • 7. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 09 | Sep 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 1471 12) E. Seevinck et al., “Static-noise margin analysis of MOS SRAM cells,”IEEE J. Solid-State Circuits, vol. SC- 22, no. 5, pp. 748–754, Oct. 1987. 13) N. Edri, S. Fraiman, A. Teman, and A. Fish, “Data retention voltage detection for minimizing the standby power of SRAM arrays,” in Proc. IEEE 27th Conv. Elect. Electron. Eng. Israel (IEEEI), Nov. 2012, pp. 1–5. 14) A Novel Virtual Grounding Based Read-Error Reduction Technique in SRAM” International Journal of Research in Computer and Com- munication Technology, Vol 2, Issue 7, July-2013. 15) M. Mamidipaka et.al “Leakage Power Estimation in SRAMs,” Motorola Co., USA, Sept. 2003.