SlideShare a Scribd company logo
1 of 6
Download to read offline
IOSR Journal of Electronics and Communication Engineering (IOSR-JECE)
e-ISSN: 2278-2834,p- ISSN: 2278-8735.Volume 6, Issue 4(May. - Jun. 2013), PP 56-61
www.iosrjournals.org
www.iosrjournals.org 56 | Page
Implementation of an Efficient SRAM for Ultra-Low Voltage
Application Based on ST for Better Read Stability and Write
Ability
C.Ramya Shruthi (1)
,S.Rambabu (2)
Department Of ECE, S.R.E.C, Nandyal
Assistant Professor, S.R.E.C, Nandyal.
Abstract: Operation of standard 6T static random access memory (SRAM) cells at sub or near threshold
voltages is unfeasible, predominantly due to degraded static noise margins (SNM) and poor robustness. We
analyze Schmitt-Trigger (ST)-based differential-sensing static random access memory (SRAM) bitcells for
ultralow-voltage operation. The ST-based SRAM bitcells address the fundamental conflicting design
requirement of the read versus write operation of a conventional 6T bitcell. The ST operation gives better read-
stability as well as better write-ability compared to the standard 6T bitcell. In this paper we are going to
propose a new SRAM bitcell for the purpose of read stability and write ability by using 90nm technology , and
less power consumption, less area than the existing Schmitt trigger1 based SRAM. Design and simulations were
done using DSCH and Microwind.
Index Terms: read stability, write ability, Schmitt trigger.
I. Introduction
PORTABLE electronic devices have extremely low power requirement to maximize the battery
lifetime. Various device-/circuit-architectural-level techniques have been implemented to minimize the power
consumption. Supply voltage scaling has significant impact on the overall power dissipation.With the supply
voltage reduction, the dynamic power reduces quadratically while the leakage power reduces linearly (to the
first order). However, as the supply voltage is reduced, the sensitivity of circuit parameters to process variations
increases. This limits the circuit operation in the low-voltage regime, particularly for SRAM bitcells employing
Minimum-sizedtransistor. These minimum geometry transistors are vulnerable to interdie as well as intradie
process variations. Intradie process variations include random dopant fluctuation (RDF) and line edge roughness
(LER). This may result in the threshold voltage mismatch between the adjacent transistors in a memory bitcell,
resulting in asymmetrical characteristics. The combined effect of the lower supply voltage along with the
increased process variations may lead to and increased memory failures such as read-failure, hold-failure, write-
failure, access-time failure. Moreover, it is predicted that embedded cache memories, which are expected to
occupy a significant portion of the total die area, will be more prone to failures with scaling.
Figure 1. Demonstration of ultra low power SRAM significance from three state-of-the-art examples targeting
energy constrained applications.
II. Previous Sram Bitcell Research
Several SRAM bitcells have been proposed having different design goals such as bit density, bitcell
area, low voltage operation and architectural timing specifications. In the four transistor (4T) loadless bitcell,
pMOS devices act as access transistors. The design requirement is such that pMOS OFFstate current should be
more than the pull-down
nMOS transistor leakage current for maintaining data “1” reliably. With increasing process variations
and exponential dependence of the subthreshold current on the threshold voltage, satisfying this design
equirement across different process, voltage, and temperature (PVT) conditions may be challenging. 5T bitcell
Implementation Of An Efficient SRAM For Ultra-Low Voltage Application Based On ST For Better
www.iosrjournals.org 57 | Page
consists of asymmetric cross coupled inverters with a single bitlin. Separate bitline precharge voltages are used
for read and write operations. The intermediate read bitline precharge voltage requires a dc–dc converter.
Tracking the read precharge voltage across PVT corners would require additional design margins in bitcell
sizing and may limit its ap-plicability. A 6T bitcell comprises of two cross-coupled CMOSinverters, the
contents of which can be ac cessed by two nMOS access transistors. The 6T bitcell is the “de facto” memory
bitcell used in the present SRAM designs. A single-ended 6T bitcell uses a full transmission gate at one side.
Write-ability is achieved by modulating the virtual-VCC and virtual-VSS of one of the inverters. The
single-ended 7T bitcell proposed separately by Tawfik et al. and Suzuki consists of single-ended write operation
and a separate read port. Single-ended write operation in this 7T bitcell needs either asymmetrical inverter
characteristics or differential VSS/VCC bias. Takeda et al. have proposed another single-ended 7T bitcell in
which an extra transistor is added in the pull-down path of one of the inverters. During read mode, the extra
transistor is turned OFF, isolating the corresponding storage node from VSS. This results in read-disturb-free
operation. In a differential 7T bitcell, the feedback between the two inverters is cut off during the write
operation. Successful write operation necessitates skewed inverter sizing, resulting in asymmetrical noise
margins. In a single-ended 8T bitcell, extra transistors are added to the conventional 6T bitcell to separate read
and write operation. Liu and Kursun have proposed a 9T
Fig2:circuit diagram of some of sram structures.
III. Need Of Schmitt Trigger Based Sram Designs
In order to resolve the conflicting read versus write design requirements in the conventional 6T bitcell,
the Schmitt Trigger (ST) principle for the cross-coupled inverter pair is applied. A Schmitt trigger is used to
modulate the switching threshold of an inverter depending on the direction of the input transition. In the existing
ST SRAM bitcells, the feedback mechanism is used only in the pull-down path, as shown in figure1.During
input transition, the feedback transistor (NF) tries to preserve the logic “1” at output node by raising the source
voltage of pull-down nMOS (N1). This results in higher switching threshold of the inverter with very sharp
transfer characteristics. Since a read-failure is initiated by a input transition for the inverter storing logic “1,”
higher switching threshold with sharp transfer characteristics of the Schmitt trigger gives robust read operation.
For the input transition, the feedback mechanism is not present. This results in smooth transfer
characteristics that are essential for easy write operation. Thus, input-dependent transfer characteristics of the
Schmitt trigger improves both read-stability as well as write-ability of the SRAM bitcell. To maintain the clarity
of the discussion, the ST bitcell in [10][11] is termed the “ST-1” bitcell while the other ST bitcell is termed the
“ST-2” bitcell shown in the Figures3 and 4 respectively.
Implementation Of An Efficient SRAM For Ultra-Low Voltage Application Based On ST For Better
www.iosrjournals.org 58 | Page
Fig3:st-1 cell
Fig4:layout of st-1 cell
Fig4:st-2 cell
Implementation Of An Efficient SRAM For Ultra-Low Voltage Application Based On ST For Better
www.iosrjournals.org 59 | Page
Fig5:st-2 layout diagram
IV. Performance Analysis Of Sram Architectural Co-Design
This section resents the performance analysis of SRAM bitcells such as 6T, 8T, 9T, and 10T with PVT
variations. The simulations are carried out in microwind using 90nm technology.
(i) FOUR BIT SRAM CELL DESIGN:
Fig6-fourbit sram cell design fot st-1
Fig7:waveform of st-1 sram cell
Implementation Of An Efficient SRAM For Ultra-Low Voltage Application Based On ST For Better
www.iosrjournals.org 60 | Page
Fig8: fourbit sram cell design fot st-2
Fig9: waveform of st-2 sram cell
Power graph analysis for 4t to 10t sram design cells
Implementation Of An Efficient SRAM For Ultra-Low Voltage Application Based On ST For Better
www.iosrjournals.org 61 | Page
Power graph analysis for ST cells based design
V. Conclusions
Performance comparison of various SRAM bit cells such as 8T, 9T, 10T with the conventional 6T
SRAM cell is performed. It has been shown that in terms of leakage power reduction and energy, ST SRAM cell
has the best performance in comparison to 8T and 9T cells and 10T. In terms of robustness to variation in
temperature.
References
[1] K. Roy and S. Prasad, Low Power CMOS VLSI Circuit Design, 1sted. New York: Wiley, 2000.
[2] N.Yoshinobu, H. Masahi, K. Takayuki, and K. Itoh, “Reviewand futureprospects of low-voltage RAM circuits,” IBM J. Res. Devel.,
vol. 47,no. 5/6, pp. 525–552, 2003.
[3] A. Bhavnagarwala, X. Tang, and J. Meindl, “The impact of intrinsicdevice fluctuations on CMOSSRAMcell stability,” IEEE J.
Solid-StateCircuits, vol. 36, no. 4, pp. 658–665, Apr. 2001.
[4] Jawar Singh, D.K.P., Simon Hollis, and Saraju P. Mohanty,A single ended 6T SRAM cell design for ultra-low-voltage
applications.IEICE Electronics Express, 2008.5(18): p. 750-755.
[5] Mizuno, H. and T. Nagano, Driving source-line cell architecture for sub-1-V high-speed low-power applications. Solid-State Circuits,
IEEE Journal of, 1996.31(4): p. 552-557.
[6] A. Bhavnagarwala, X. Tang, and J. Meindl, “The impact of intrinsic device fluctuations onCMOS SRAMcell stability,” IEEE J.
Solid-State Circuits, vol. 36, no. 4, pp. 658–665, Apr. 2001.
[7] S. Mukhopadhyay, H. Mahmoodi, and K. Roy, “Modeling of failure probability and statistical design of SRAMarray for yield
enhancement in nanoscaled CMOS,” IEEE Trans. Comput. Aided Des., vol. 24, no.12, pp. 1859–1880, Dec. 2005.
[8] H. Kawaguchi, Y. Itaka, and T. Sakurai, “Dynamic leakage cutoff scheme for low-voltage SRAMs,” in VLSI Circuits Symp. Dig.,
Jun.1998, pp. 140–141.
[9] J. P. Kulkarni, K. Kim, and K. Roy, BA 160mV robust schmitt trigger based subthreshold SRAM, IEEE J. Solid-State Circuits, vol.
42,no. 10, pp. 2303–2313, Oct. 2007.
[10] R. Aly, M. Faisal, and A. Bayoumi, “Novel 7T SRAM cell for low power cache design,” in Proc. IEEE SOC Conf., 2005, pp. 171–
174.

More Related Content

What's hot

STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...elelijjournal
 
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...IDES Editor
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...idescitation
 
Design and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power ApplicationDesign and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power ApplicationIRJET Journal
 
Performance of Fractional-Slot Winding PM Machines due to Un-even Coil Turns ...
Performance of Fractional-Slot Winding PM Machines due to Un-even Coil Turns ...Performance of Fractional-Slot Winding PM Machines due to Un-even Coil Turns ...
Performance of Fractional-Slot Winding PM Machines due to Un-even Coil Turns ...IJPEDS-IAES
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMJose Angel Mitma Mollisaca
 
Research Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and ScienceResearch Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and Scienceresearchinventy
 
Magnetic ram
Magnetic ramMagnetic ram
Magnetic ramvinod509
 
Nonuniform Membranes In Capacitive Micromachined Ultrasonic Transducers
Nonuniform Membranes In Capacitive Micromachined Ultrasonic TransducersNonuniform Membranes In Capacitive Micromachined Ultrasonic Transducers
Nonuniform Membranes In Capacitive Micromachined Ultrasonic TransducersMuhammed N. Senlik
 

What's hot (17)

Memristor Technology
Memristor TechnologyMemristor Technology
Memristor Technology
 
Iw2616951698
Iw2616951698Iw2616951698
Iw2616951698
 
Af34193199
Af34193199Af34193199
Af34193199
 
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...
 
L24093097
L24093097L24093097
L24093097
 
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
 
Design and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power ApplicationDesign and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power Application
 
Performance of Fractional-Slot Winding PM Machines due to Un-even Coil Turns ...
Performance of Fractional-Slot Winding PM Machines due to Un-even Coil Turns ...Performance of Fractional-Slot Winding PM Machines due to Un-even Coil Turns ...
Performance of Fractional-Slot Winding PM Machines due to Un-even Coil Turns ...
 
poster_final
poster_finalposter_final
poster_final
 
Mram
MramMram
Mram
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAM
 
Ijetcas14 542
Ijetcas14 542Ijetcas14 542
Ijetcas14 542
 
Research Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and ScienceResearch Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and Science
 
Magnetic ram
Magnetic ramMagnetic ram
Magnetic ram
 
A1102020113
A1102020113A1102020113
A1102020113
 
Nonuniform Membranes In Capacitive Micromachined Ultrasonic Transducers
Nonuniform Membranes In Capacitive Micromachined Ultrasonic TransducersNonuniform Membranes In Capacitive Micromachined Ultrasonic Transducers
Nonuniform Membranes In Capacitive Micromachined Ultrasonic Transducers
 

Viewers also liked

IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSFPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSEditor IJMTER
 
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...Grace Abraham
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bguchiportal
 
04 Mcu Day Stellaris 8 12b Editado
04   Mcu Day   Stellaris 8 12b   Editado04   Mcu Day   Stellaris 8 12b   Editado
04 Mcu Day Stellaris 8 12b EditadoTexas Instruments
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellVishwanath Hiremath
 
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016CLAROZONTECHNOLOGIES
 
VLSI Projects Titles
VLSI Projects TitlesVLSI Projects Titles
VLSI Projects TitlesE2MATRIX
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationTeam-VLSI-ITMU
 
Sram memory design
Sram memory designSram memory design
Sram memory designNIT Goa
 
Write stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellWrite stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellMr Santosh Kumar Chhotray
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved responseeSAT Publishing House
 

Viewers also liked (13)

IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSFPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
 
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bgu
 
04 Mcu Day Stellaris 8 12b Editado
04   Mcu Day   Stellaris 8 12b   Editado04   Mcu Day   Stellaris 8 12b   Editado
04 Mcu Day Stellaris 8 12b Editado
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
 
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
 
VLSI Projects Titles
VLSI Projects TitlesVLSI Projects Titles
VLSI Projects Titles
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
Sram memory design
Sram memory designSram memory design
Sram memory design
 
Write stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellWrite stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cell
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved response
 
SRAM Design
SRAM DesignSRAM Design
SRAM Design
 

Similar to Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based on ST for Better Read Stability and Write Ability

Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...IOSRJVSP
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuitsijsrd.com
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operationidescitation
 
10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project ReportJie Song
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...VLSICS Design
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...VLSICS Design
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...VLSICS Design
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...VLSICS Design
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET Journal
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)theijes
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET Journal
 
Design of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellDesign of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellIRJET Journal
 

Similar to Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based on ST for Better Read Stability and Write Ability (20)

Ef31876879
Ef31876879Ef31876879
Ef31876879
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
 
Fj3110731078
Fj3110731078Fj3110731078
Fj3110731078
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
 
10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 
C0211520
C0211520C0211520
C0211520
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
 
Design of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellDesign of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit Cell
 

More from IOSR Journals (20)

A011140104
A011140104A011140104
A011140104
 
M0111397100
M0111397100M0111397100
M0111397100
 
L011138596
L011138596L011138596
L011138596
 
K011138084
K011138084K011138084
K011138084
 
J011137479
J011137479J011137479
J011137479
 
I011136673
I011136673I011136673
I011136673
 
G011134454
G011134454G011134454
G011134454
 
H011135565
H011135565H011135565
H011135565
 
F011134043
F011134043F011134043
F011134043
 
E011133639
E011133639E011133639
E011133639
 
D011132635
D011132635D011132635
D011132635
 
C011131925
C011131925C011131925
C011131925
 
B011130918
B011130918B011130918
B011130918
 
A011130108
A011130108A011130108
A011130108
 
I011125160
I011125160I011125160
I011125160
 
H011124050
H011124050H011124050
H011124050
 
G011123539
G011123539G011123539
G011123539
 
F011123134
F011123134F011123134
F011123134
 
E011122530
E011122530E011122530
E011122530
 
D011121524
D011121524D011121524
D011121524
 

Recently uploaded

UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)Dr SOUNDIRARAJ N
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...asadnawaz62
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
pipeline in computer architecture design
pipeline in computer architecture  designpipeline in computer architecture  design
pipeline in computer architecture designssuser87fa0c1
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfme23b1001
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxk795866
 
EduAI - E learning Platform integrated with AI
EduAI - E learning Platform integrated with AIEduAI - E learning Platform integrated with AI
EduAI - E learning Platform integrated with AIkoyaldeepu123
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEroselinkalist12
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptSAURABHKUMAR892774
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
Risk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfRisk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfROCENODodongVILLACER
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxKartikeyaDwivedi3
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHC Sai Kiran
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 

Recently uploaded (20)

UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
pipeline in computer architecture design
pipeline in computer architecture  designpipeline in computer architecture  design
pipeline in computer architecture design
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdf
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptx
 
EduAI - E learning Platform integrated with AI
EduAI - E learning Platform integrated with AIEduAI - E learning Platform integrated with AI
EduAI - E learning Platform integrated with AI
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.ppt
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
Risk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfRisk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdf
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptx
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECH
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 

Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based on ST for Better Read Stability and Write Ability

  • 1. IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-ISSN: 2278-2834,p- ISSN: 2278-8735.Volume 6, Issue 4(May. - Jun. 2013), PP 56-61 www.iosrjournals.org www.iosrjournals.org 56 | Page Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based on ST for Better Read Stability and Write Ability C.Ramya Shruthi (1) ,S.Rambabu (2) Department Of ECE, S.R.E.C, Nandyal Assistant Professor, S.R.E.C, Nandyal. Abstract: Operation of standard 6T static random access memory (SRAM) cells at sub or near threshold voltages is unfeasible, predominantly due to degraded static noise margins (SNM) and poor robustness. We analyze Schmitt-Trigger (ST)-based differential-sensing static random access memory (SRAM) bitcells for ultralow-voltage operation. The ST-based SRAM bitcells address the fundamental conflicting design requirement of the read versus write operation of a conventional 6T bitcell. The ST operation gives better read- stability as well as better write-ability compared to the standard 6T bitcell. In this paper we are going to propose a new SRAM bitcell for the purpose of read stability and write ability by using 90nm technology , and less power consumption, less area than the existing Schmitt trigger1 based SRAM. Design and simulations were done using DSCH and Microwind. Index Terms: read stability, write ability, Schmitt trigger. I. Introduction PORTABLE electronic devices have extremely low power requirement to maximize the battery lifetime. Various device-/circuit-architectural-level techniques have been implemented to minimize the power consumption. Supply voltage scaling has significant impact on the overall power dissipation.With the supply voltage reduction, the dynamic power reduces quadratically while the leakage power reduces linearly (to the first order). However, as the supply voltage is reduced, the sensitivity of circuit parameters to process variations increases. This limits the circuit operation in the low-voltage regime, particularly for SRAM bitcells employing Minimum-sizedtransistor. These minimum geometry transistors are vulnerable to interdie as well as intradie process variations. Intradie process variations include random dopant fluctuation (RDF) and line edge roughness (LER). This may result in the threshold voltage mismatch between the adjacent transistors in a memory bitcell, resulting in asymmetrical characteristics. The combined effect of the lower supply voltage along with the increased process variations may lead to and increased memory failures such as read-failure, hold-failure, write- failure, access-time failure. Moreover, it is predicted that embedded cache memories, which are expected to occupy a significant portion of the total die area, will be more prone to failures with scaling. Figure 1. Demonstration of ultra low power SRAM significance from three state-of-the-art examples targeting energy constrained applications. II. Previous Sram Bitcell Research Several SRAM bitcells have been proposed having different design goals such as bit density, bitcell area, low voltage operation and architectural timing specifications. In the four transistor (4T) loadless bitcell, pMOS devices act as access transistors. The design requirement is such that pMOS OFFstate current should be more than the pull-down nMOS transistor leakage current for maintaining data “1” reliably. With increasing process variations and exponential dependence of the subthreshold current on the threshold voltage, satisfying this design equirement across different process, voltage, and temperature (PVT) conditions may be challenging. 5T bitcell
  • 2. Implementation Of An Efficient SRAM For Ultra-Low Voltage Application Based On ST For Better www.iosrjournals.org 57 | Page consists of asymmetric cross coupled inverters with a single bitlin. Separate bitline precharge voltages are used for read and write operations. The intermediate read bitline precharge voltage requires a dc–dc converter. Tracking the read precharge voltage across PVT corners would require additional design margins in bitcell sizing and may limit its ap-plicability. A 6T bitcell comprises of two cross-coupled CMOSinverters, the contents of which can be ac cessed by two nMOS access transistors. The 6T bitcell is the “de facto” memory bitcell used in the present SRAM designs. A single-ended 6T bitcell uses a full transmission gate at one side. Write-ability is achieved by modulating the virtual-VCC and virtual-VSS of one of the inverters. The single-ended 7T bitcell proposed separately by Tawfik et al. and Suzuki consists of single-ended write operation and a separate read port. Single-ended write operation in this 7T bitcell needs either asymmetrical inverter characteristics or differential VSS/VCC bias. Takeda et al. have proposed another single-ended 7T bitcell in which an extra transistor is added in the pull-down path of one of the inverters. During read mode, the extra transistor is turned OFF, isolating the corresponding storage node from VSS. This results in read-disturb-free operation. In a differential 7T bitcell, the feedback between the two inverters is cut off during the write operation. Successful write operation necessitates skewed inverter sizing, resulting in asymmetrical noise margins. In a single-ended 8T bitcell, extra transistors are added to the conventional 6T bitcell to separate read and write operation. Liu and Kursun have proposed a 9T Fig2:circuit diagram of some of sram structures. III. Need Of Schmitt Trigger Based Sram Designs In order to resolve the conflicting read versus write design requirements in the conventional 6T bitcell, the Schmitt Trigger (ST) principle for the cross-coupled inverter pair is applied. A Schmitt trigger is used to modulate the switching threshold of an inverter depending on the direction of the input transition. In the existing ST SRAM bitcells, the feedback mechanism is used only in the pull-down path, as shown in figure1.During input transition, the feedback transistor (NF) tries to preserve the logic “1” at output node by raising the source voltage of pull-down nMOS (N1). This results in higher switching threshold of the inverter with very sharp transfer characteristics. Since a read-failure is initiated by a input transition for the inverter storing logic “1,” higher switching threshold with sharp transfer characteristics of the Schmitt trigger gives robust read operation. For the input transition, the feedback mechanism is not present. This results in smooth transfer characteristics that are essential for easy write operation. Thus, input-dependent transfer characteristics of the Schmitt trigger improves both read-stability as well as write-ability of the SRAM bitcell. To maintain the clarity of the discussion, the ST bitcell in [10][11] is termed the “ST-1” bitcell while the other ST bitcell is termed the “ST-2” bitcell shown in the Figures3 and 4 respectively.
  • 3. Implementation Of An Efficient SRAM For Ultra-Low Voltage Application Based On ST For Better www.iosrjournals.org 58 | Page Fig3:st-1 cell Fig4:layout of st-1 cell Fig4:st-2 cell
  • 4. Implementation Of An Efficient SRAM For Ultra-Low Voltage Application Based On ST For Better www.iosrjournals.org 59 | Page Fig5:st-2 layout diagram IV. Performance Analysis Of Sram Architectural Co-Design This section resents the performance analysis of SRAM bitcells such as 6T, 8T, 9T, and 10T with PVT variations. The simulations are carried out in microwind using 90nm technology. (i) FOUR BIT SRAM CELL DESIGN: Fig6-fourbit sram cell design fot st-1 Fig7:waveform of st-1 sram cell
  • 5. Implementation Of An Efficient SRAM For Ultra-Low Voltage Application Based On ST For Better www.iosrjournals.org 60 | Page Fig8: fourbit sram cell design fot st-2 Fig9: waveform of st-2 sram cell Power graph analysis for 4t to 10t sram design cells
  • 6. Implementation Of An Efficient SRAM For Ultra-Low Voltage Application Based On ST For Better www.iosrjournals.org 61 | Page Power graph analysis for ST cells based design V. Conclusions Performance comparison of various SRAM bit cells such as 8T, 9T, 10T with the conventional 6T SRAM cell is performed. It has been shown that in terms of leakage power reduction and energy, ST SRAM cell has the best performance in comparison to 8T and 9T cells and 10T. In terms of robustness to variation in temperature. References [1] K. Roy and S. Prasad, Low Power CMOS VLSI Circuit Design, 1sted. New York: Wiley, 2000. [2] N.Yoshinobu, H. Masahi, K. Takayuki, and K. Itoh, “Reviewand futureprospects of low-voltage RAM circuits,” IBM J. Res. Devel., vol. 47,no. 5/6, pp. 525–552, 2003. [3] A. Bhavnagarwala, X. Tang, and J. Meindl, “The impact of intrinsicdevice fluctuations on CMOSSRAMcell stability,” IEEE J. Solid-StateCircuits, vol. 36, no. 4, pp. 658–665, Apr. 2001. [4] Jawar Singh, D.K.P., Simon Hollis, and Saraju P. Mohanty,A single ended 6T SRAM cell design for ultra-low-voltage applications.IEICE Electronics Express, 2008.5(18): p. 750-755. [5] Mizuno, H. and T. Nagano, Driving source-line cell architecture for sub-1-V high-speed low-power applications. Solid-State Circuits, IEEE Journal of, 1996.31(4): p. 552-557. [6] A. Bhavnagarwala, X. Tang, and J. Meindl, “The impact of intrinsic device fluctuations onCMOS SRAMcell stability,” IEEE J. Solid-State Circuits, vol. 36, no. 4, pp. 658–665, Apr. 2001. [7] S. Mukhopadhyay, H. Mahmoodi, and K. Roy, “Modeling of failure probability and statistical design of SRAMarray for yield enhancement in nanoscaled CMOS,” IEEE Trans. Comput. Aided Des., vol. 24, no.12, pp. 1859–1880, Dec. 2005. [8] H. Kawaguchi, Y. Itaka, and T. Sakurai, “Dynamic leakage cutoff scheme for low-voltage SRAMs,” in VLSI Circuits Symp. Dig., Jun.1998, pp. 140–141. [9] J. P. Kulkarni, K. Kim, and K. Roy, BA 160mV robust schmitt trigger based subthreshold SRAM, IEEE J. Solid-State Circuits, vol. 42,no. 10, pp. 2303–2313, Oct. 2007. [10] R. Aly, M. Faisal, and A. Bayoumi, “Novel 7T SRAM cell for low power cache design,” in Proc. IEEE SOC Conf., 2005, pp. 171– 174.