SlideShare a Scribd company logo
1 of 5
Download to read offline
International Association of Scientific Innovation and Research (IASIR) 
(An Association Unifying the Sciences, Engineering, and Applied Research) 
International Journal of Emerging Technologies in Computational 
and Applied Sciences (IJETCAS) 
www.iasir.net 
IJETCAS 14-542; © 2014, IJETCAS All Rights Reserved Page 119 
ISSN (Print): 2279-0047 
ISSN (Online): 2279-0055 
Design and Analysis of High Speed SRAM Cell at 45nm Technology 
PN Vamsi Kiran1, Anurag Mondal2 
1M.Tech. Student of ITM Universe, Gwalior, Madhya Pradesh, India 
2Associate Professor, Dept. of Communication Technology and Management, ITM Universe, 
Gwalior, Madhya Pradesh, India 
________________________________________________________________________________________ 
Abstract: SRAM often faces the problem of read stability due to static noise while reading, as the basic latch is accessed by the access transistors which may cause external noise to corrupt the stored data. The aim of this paper is to analyze the read behaviour of the multiple SRAM cell structures using cadence tool at 45nm technology and to compare the cells for read operation while keeping the read and write access time and the supply voltage as low as possible. While the conventional 6T cell utilizes the same word line for read as well as write access, the new cell structures described in this paper use a different read enable line for read operation. This allows the memory element to remain isolated from any disturbance due to bit-lines or access transistors. 
Keyword: SRAM cell, High speed, Read Stability, Low Power. 
________________________________________________________________________________________ 
I. INTRODUCTION 
The SRAM topology proposed in this paper uses multiple 10T structure to produce a faster read operation without disturbing the cell [1]. In conventional 6T SRAM, the read operation is performed by pulling the word line high and accessing the latch by access transistors. This may lead to disturbance and corruption of the data stored in the cell, due to static noise. Further, in conventional 6T cell, the read operation is quite slow process as activating the access transistors takes undesirable time to access the latch. Slow read operation in SRAM means time required to respond to a particular operation (read or write) would be large. As a result, the leakage power over this long period of time in the idle circuit would increase. This reduces the performance of the cell and makes the cell objectionable for use in the practical applications. The circuit is thus expected to respond as fast as possible and to be switched OFF after the response has been observed. However in case of SRAMs, switching OFF the circuit would lose the data, and regrettably it is a compulsion to keep the cell ON even if it is in idle state. This situation becomes a major challenge to reduce the leakage current as we have no option but to keep the circuit ON. To overcome the limitations mentioned above, the proposed SRAM cell has been equipped with a different read process which limits the time required to read the cell and helps in prohibiting the data corruption of cell by isolating it from the external read circuitry. The cell has been designed to work with lower supply voltages, which helps in further degradation of the leakage power thus making the cell more efficient. 
II. LIMITATIONS OF 6T CELL 
In conventional 6T cell, as shown in figure 1, the memory element is accessed for read operations using access transistors. This leads in undesired delay to activate the access transistors and then sensing the bit lines. 
Figure 1. The Conventional 6T SRAM Cell. 
Q 
NM2 
NM3 
PM1 
PM0 
BL 
BLB 
WL 
VDD 
Qb 
NM0 
NM1
PN Vamsi Kiran et al., International Journal of Emerging Technologies in Computational and Applied Sciences, 9(2), June-August, 2014, 
pp. 119-123 
IJETCAS 14-542; © 2014, IJETCAS All Rights Reserved Page 120 
Also, the static noise margin (SNM) [2] [4] dramatically declines as the supply voltage is reduced. Reduced supply voltages may lead to data corruption and invalid data sensing from the cell, which would be an undesirable situation for practical designs. 
Another disadvantage of supply voltage reduction is delay in read operation which reduces the speed performance of the cell. On the other hand higher supply voltage leads to larger leakage power making the cell again inefficient. In the basic memory element i.e. cross-coupled inverters, the two nMOS transistors (NM0, NM1) are expected to have more strength as compared to the access transistors for proper read operation. 
III.THE 10T SRAM CELL STRUCTURE 
The SRAM structures have been designed to limit the noise in read operation by adding a different circuit for read operation which isolates the basic memory element from the external noise keeping the access transistors disabled while reading the cell. This addresses the drawback of the 6T cell read operation as discussed in section 2. The 10T structure, shown in figure 2, employs an inverter as read buffer [3] connected to the Qb (Q Bar) of the cell. 
Figure 2. Schematic of 10T SRAM Cell. 
As noted from the schematic that read buffer has been connected before the access transistors to access the memory element without having the requirement of switching them on. This reduces the time of reading by eliminating the switching time of access transistors as they are out of action during the read operation. However 
Q 
PM1 
PM0 
NM2 
NM3 
PM2 
NM4 
BL 
BLB 
WL 
VDD 
Qb 
NM0 
NM1 
Rdout 
REB 
RE 
PM3 
NM5
PN Vamsi Kiran et al., International Journal of Emerging Technologies in Computational and Applied Sciences, 9(2), June-August, 2014, 
pp. 119-123 
IJETCAS 14-542; © 2014, IJETCAS All Rights Reserved Page 121 
the write speed of the cell would still be slow which leads to degradation of write speed performance of the cell. The write waveform of the 10T structure is shown in figure 3 and read waveform in figure 4. 
Figure 3. Waveform for write operation of 10T SRAM Cell. 
Figure 4. Waveform of read operation of 10T SRAM Cell. 
According to the write waveform, Q and QBar (QB) depend on Bit Line (BL) and Bit Libe Bar (BLB) respectively. When write line (WL) is at high voltage (say, 1 V) then output Q depends on BL and QB depends upon BLB. The write 1 delay, 120 ps, is less than the write 0 delay 110 ps in revealed waveforms. 
According to read waveform, as the inverter is connected directly with memory element before the access transistor to make the read operation independent on access transistors and buffer is connected to inverter output consequently the read buffer is connected to circuit for robust and fast read operation at low voltage. The read output depends on read enable (RE) and read enable bar (REB) which are kept at opposite voltages. The interval at which both WL and RE are high, the read will occur. Hence the circuit becomes independent of bit lines and gives disturb free read operation. The read delay in the circuit is 50 ps. 
IV.POWER CONSUMPTION 
Power consumed in any circuit can be due to a number of parameters like sub-threshold leakage, temperature and also at larger supply voltage (Vdd) [7] [9] [12]. The 6T SRAM Cell, has more sub-threshold leakage as compared to 10T SRAM Cell due to read-disturb free circuit. The disturb-free read circuit, connected to output node Q before access transistor, reduces the delay and leakage throughout the read cycle since the circuit becomes independent of access transistors and hence does not depend on bit lines.
PN Vamsi Kiran et al., International Journal of Emerging Technologies in Computational and Applied Sciences, 9(2), June-August, 2014, 
pp. 119-123 
IJETCAS 14-542; © 2014, IJETCAS All Rights Reserved Page 122 
Figure 5. Power versus temperature curve of 10T Cell. 
Figure 6. Power versus Vdd curve of 10T Cell. 
During the read cycle high voltage is stored at node Q, the transistor NM4 shows the leakage since it becomes off. Variation of power consumption with respect to temperature and supply voltage is plotted in figure 5 and figure 6 respectively. The overall circuit leakage power is reduced to 19.09 nW. The leakage power waveform is shown in figure 7. 
Figure 7. Leakage power waveform of 10T SRAM Cell.
PN Vamsi Kiran et al., International Journal of Emerging Technologies in Computational and Applied Sciences, 9(2), June-August, 2014, 
pp. 119-123 
IJETCAS 14-542; © 2014, IJETCAS All Rights Reserved Page 123 
V.SIMULATION RESULTS 
The simulation of the 10T SRAM cell is performed with Cadence virtuoso tool at 45 nm technology. Calculation of different parameters is summarized in table 1. Results show that delay is improved to 13% and power has been reduced up to 36% with disturb-free read operation. The most important observation of this cell is that the read delay operation has been reduced up to 54% which can be clearly observed from table 1, thus making the read operation very fast. 
Table 1: Simulation Results as obtained from cadence. 
Sr.No. 
Parameter 
6T Cell 
10T Cell 
1 
Technology 
45nm 
45nm 
2 
Power 
19.09 nW 
12.09 nW 
3 
Write 1 Delay 
138 ps 
120 ps 
4 
Write 0 Delay 
135 ps 
110 ps 
5 
Read Delay 
110 ps 
50 ps 
6 
Supply Voltage 
700 mV 
700 mV 
VI.CONCLUSION 
As the paper was aimed at the analysis of high speed cell, we conclude that 10T SRAM, equipped with read- disturb free circuit has shown improved read delay performance thus increasing the SNM of the cell. Results, therefore confirm that 10T SRAM cell would be a better choice as compared to 6T cell to be employed in the practical circuits. 
ACKNOWLEDGMENT 
The endeavour in this paper was supported by ITM Universe, Gwalior, India in collaboration with Cadence System Design, Bangalore, India. 
REFERENCES 
[1] Jaydeep P. Kulkarni, Goel, A., Ndai, P., and Roy, K., 2011 “A Read-Disturb-Free, Differential Sensing 1R/1W Port, 8T Bitcell Array”, IEEE Transactions On Very Large Scale Integration (Vlsi) Systems, Vol. 19, No. 9. 
[2] Takeda, K., Hagihara, Y., Aimoto, Y., Nomura, M., Nakazawa, Y., Ishii, T., and Kobatake, H., 2006, “A Read-Static-Noise- Margin-Free SRAM Cell for Low-VDD and High-Speed Applications,” IEEE Journal Of Solid-State Circuits, Vol. 41, No. 1. 
[3] Kim, D., Chen, G., Fojtik, M., Seok, M., Blaauw, D., Sylvester, D., “A 1.85fW/bit Ultra Low Leakage 10T SRAM with Speed Compensation Scheme”, University of Michigan, Ann Arbor, MI USA. 
[4] Birla, S., Singh, R.K., and Pattnaik, M., 2011, “Static Noise Margin Analysis of Various SRAM Topologies”, IACSIT International Journal of Engineering and Technology, Vol.3, No.3. 
[5] Glocker, E., Schmitt-Landsiedel, D., and Drapatz, S., 2011, “Countermeasures against NBTI degradation on 6T-SRAM cells, Copernicus Publications”, Adv. Radio Sci., 9, 255–261. 
[6] Hamzaoglu, F., Zhang, K., Wang, Y., Ahn, H.J., Bhattacharya, U., Chen, Z., Ng, YG., Pavlov, A., Smits, K., Bohr, M., 2009, “A 3.8 GHz 153 Mb SRAM Design With Dynamic Stability Enhancement and Leakage Reduction in 45 nm High-k Metal Gate CMOS Technology”, IEEE Journal Of Solid-State Circuits, Vol. 44, No. 1. 
[7] Shamanna, G., Kshatri, B., Gaurav, R., Tew, Y.S., Marfatia, P., Raghavendra, Y., Naik, V., 2010 “Process Technology and Design Parameter Impact on SRAM Bit-Cell Sleep Effectiveness”, IEEE. 
[8] Nourivand, A., Wang, C., and Ahmad M. O., 2007, “An Adaptive Sleep Transistor Biasing Scheme for Low Leakage SRAM”, IEEE. 
[9] Okumura, S., Yoshimoto, S., Yamaguchi, K., Nakata, Y., Kawaguchi, H., and Yoshimoto, M., 2010 7T SRAM Enabling Low- Energy Simultaneous Block Copy, IEEE. 
[10] Flautner, K., Kim, N.S., Martin, S., Blaauw, D., Mudge, T., 2002, “Drowsy Caches: Simple Techniques for Reducing Leakage Power”, Proceedings of the 29th Annual International Symposium on Computer Architecture (ISCA.02), IEEE. 
[11] Zhang, K., Bhattacharya, U., Chen, Z., Hamzaoglu, F., Murray, D., Vallepalli, N., Wang, Y., Zheng, B., and Bohr, M., 2005, “SRAM Design on 65-nm CMOS Technology With Dynamic Sleep Transistor for Leakage Reduction”, IEEE Journal Of Solid- State Circuits, Vol. 40, No. 4. 
[12] Ding-Ming Kwai, 2006, “Standby Current Reduction of Compilable SRAM Using Sleep Transistor and Source Line Self Bias”, IEEE.

More Related Content

What's hot

10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project ReportJie Song
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
 
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellProcess Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellIDES Editor
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tooleSAT Publishing House
 
RRAM Status and Opportunities
RRAM Status and Opportunities RRAM Status and Opportunities
RRAM Status and Opportunities Deepak Sekar
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationTeam-VLSI-ITMU
 
Analyzing the Impact of Sleep Transistor on SRAM
Analyzing the Impact of Sleep Transistor on SRAMAnalyzing the Impact of Sleep Transistor on SRAM
Analyzing the Impact of Sleep Transistor on SRAMIOSRJVSP
 
Memristor structures in non volatile memory(submit with ramin vaghar mousavi)
Memristor structures in non volatile memory(submit with ramin vaghar mousavi) Memristor structures in non volatile memory(submit with ramin vaghar mousavi)
Memristor structures in non volatile memory(submit with ramin vaghar mousavi) Ramin Vaghar Mousavi
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cellidescitation
 
Design of STT-RAM cell in 45nm hybrid CMOS/MTJ process
Design of STT-RAM cell in 45nm hybrid CMOS/MTJ processDesign of STT-RAM cell in 45nm hybrid CMOS/MTJ process
Design of STT-RAM cell in 45nm hybrid CMOS/MTJ processEditor IJCATR
 
Presentation STT-RAM Survey
Presentation STT-RAM SurveyPresentation STT-RAM Survey
Presentation STT-RAM SurveySwapnil Bhosale
 
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...IOSRJVSP
 
emerging memory technologies Document
emerging memory technologies Documentemerging memory technologies Document
emerging memory technologies DocumentVidya Baddam
 

What's hot (18)

10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellProcess Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
 
Fj3110731078
Fj3110731078Fj3110731078
Fj3110731078
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tool
 
RRAM Status and Opportunities
RRAM Status and Opportunities RRAM Status and Opportunities
RRAM Status and Opportunities
 
Df33642645
Df33642645Df33642645
Df33642645
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
Analyzing the Impact of Sleep Transistor on SRAM
Analyzing the Impact of Sleep Transistor on SRAMAnalyzing the Impact of Sleep Transistor on SRAM
Analyzing the Impact of Sleep Transistor on SRAM
 
Memristor structures in non volatile memory(submit with ramin vaghar mousavi)
Memristor structures in non volatile memory(submit with ramin vaghar mousavi) Memristor structures in non volatile memory(submit with ramin vaghar mousavi)
Memristor structures in non volatile memory(submit with ramin vaghar mousavi)
 
C0211520
C0211520C0211520
C0211520
 
Ef31876879
Ef31876879Ef31876879
Ef31876879
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
Design of STT-RAM cell in 45nm hybrid CMOS/MTJ process
Design of STT-RAM cell in 45nm hybrid CMOS/MTJ processDesign of STT-RAM cell in 45nm hybrid CMOS/MTJ process
Design of STT-RAM cell in 45nm hybrid CMOS/MTJ process
 
Presentation STT-RAM Survey
Presentation STT-RAM SurveyPresentation STT-RAM Survey
Presentation STT-RAM Survey
 
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
 
emerging memory technologies Document
emerging memory technologies Documentemerging memory technologies Document
emerging memory technologies Document
 

Viewers also liked (9)

Ijetcas14 355
Ijetcas14 355Ijetcas14 355
Ijetcas14 355
 
Ijetcas14 454
Ijetcas14 454Ijetcas14 454
Ijetcas14 454
 
Ijetcas14 358
Ijetcas14 358Ijetcas14 358
Ijetcas14 358
 
Ijetcas14 515
Ijetcas14 515Ijetcas14 515
Ijetcas14 515
 
Ijetcas14 425
Ijetcas14 425Ijetcas14 425
Ijetcas14 425
 
Ijetcas14 426
Ijetcas14 426Ijetcas14 426
Ijetcas14 426
 
Ijetcas14 476
Ijetcas14 476Ijetcas14 476
Ijetcas14 476
 
Ijetcas14 539
Ijetcas14 539Ijetcas14 539
Ijetcas14 539
 
Ijetcas14 475
Ijetcas14 475Ijetcas14 475
Ijetcas14 475
 

Similar to Ijetcas14 542

IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET Journal
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...IOSRJVSP
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET Journal
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Designiosrjce
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMJose Angel Mitma Mollisaca
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operationidescitation
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyIRJET Journal
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memoryiosrjce
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...idescitation
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET Journal
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYVLSICS Design
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved responseeSAT Publishing House
 

Similar to Ijetcas14 542 (20)

IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Design
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAM
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
 
Af34193199
Af34193199Af34193199
Af34193199
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Ijetcas14 632
Ijetcas14 632Ijetcas14 632
Ijetcas14 632
 
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved response
 

More from Iasir Journals (20)

ijetcas14 650
ijetcas14 650ijetcas14 650
ijetcas14 650
 
Ijetcas14 648
Ijetcas14 648Ijetcas14 648
Ijetcas14 648
 
Ijetcas14 647
Ijetcas14 647Ijetcas14 647
Ijetcas14 647
 
Ijetcas14 643
Ijetcas14 643Ijetcas14 643
Ijetcas14 643
 
Ijetcas14 641
Ijetcas14 641Ijetcas14 641
Ijetcas14 641
 
Ijetcas14 639
Ijetcas14 639Ijetcas14 639
Ijetcas14 639
 
Ijetcas14 624
Ijetcas14 624Ijetcas14 624
Ijetcas14 624
 
Ijetcas14 619
Ijetcas14 619Ijetcas14 619
Ijetcas14 619
 
Ijetcas14 615
Ijetcas14 615Ijetcas14 615
Ijetcas14 615
 
Ijetcas14 608
Ijetcas14 608Ijetcas14 608
Ijetcas14 608
 
Ijetcas14 605
Ijetcas14 605Ijetcas14 605
Ijetcas14 605
 
Ijetcas14 604
Ijetcas14 604Ijetcas14 604
Ijetcas14 604
 
Ijetcas14 598
Ijetcas14 598Ijetcas14 598
Ijetcas14 598
 
Ijetcas14 594
Ijetcas14 594Ijetcas14 594
Ijetcas14 594
 
Ijetcas14 593
Ijetcas14 593Ijetcas14 593
Ijetcas14 593
 
Ijetcas14 591
Ijetcas14 591Ijetcas14 591
Ijetcas14 591
 
Ijetcas14 589
Ijetcas14 589Ijetcas14 589
Ijetcas14 589
 
Ijetcas14 585
Ijetcas14 585Ijetcas14 585
Ijetcas14 585
 
Ijetcas14 584
Ijetcas14 584Ijetcas14 584
Ijetcas14 584
 
Ijetcas14 583
Ijetcas14 583Ijetcas14 583
Ijetcas14 583
 

Recently uploaded

Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAbhinavSharma374939
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 

Recently uploaded (20)

Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog Converter
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 

Ijetcas14 542

  • 1. International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) www.iasir.net IJETCAS 14-542; © 2014, IJETCAS All Rights Reserved Page 119 ISSN (Print): 2279-0047 ISSN (Online): 2279-0055 Design and Analysis of High Speed SRAM Cell at 45nm Technology PN Vamsi Kiran1, Anurag Mondal2 1M.Tech. Student of ITM Universe, Gwalior, Madhya Pradesh, India 2Associate Professor, Dept. of Communication Technology and Management, ITM Universe, Gwalior, Madhya Pradesh, India ________________________________________________________________________________________ Abstract: SRAM often faces the problem of read stability due to static noise while reading, as the basic latch is accessed by the access transistors which may cause external noise to corrupt the stored data. The aim of this paper is to analyze the read behaviour of the multiple SRAM cell structures using cadence tool at 45nm technology and to compare the cells for read operation while keeping the read and write access time and the supply voltage as low as possible. While the conventional 6T cell utilizes the same word line for read as well as write access, the new cell structures described in this paper use a different read enable line for read operation. This allows the memory element to remain isolated from any disturbance due to bit-lines or access transistors. Keyword: SRAM cell, High speed, Read Stability, Low Power. ________________________________________________________________________________________ I. INTRODUCTION The SRAM topology proposed in this paper uses multiple 10T structure to produce a faster read operation without disturbing the cell [1]. In conventional 6T SRAM, the read operation is performed by pulling the word line high and accessing the latch by access transistors. This may lead to disturbance and corruption of the data stored in the cell, due to static noise. Further, in conventional 6T cell, the read operation is quite slow process as activating the access transistors takes undesirable time to access the latch. Slow read operation in SRAM means time required to respond to a particular operation (read or write) would be large. As a result, the leakage power over this long period of time in the idle circuit would increase. This reduces the performance of the cell and makes the cell objectionable for use in the practical applications. The circuit is thus expected to respond as fast as possible and to be switched OFF after the response has been observed. However in case of SRAMs, switching OFF the circuit would lose the data, and regrettably it is a compulsion to keep the cell ON even if it is in idle state. This situation becomes a major challenge to reduce the leakage current as we have no option but to keep the circuit ON. To overcome the limitations mentioned above, the proposed SRAM cell has been equipped with a different read process which limits the time required to read the cell and helps in prohibiting the data corruption of cell by isolating it from the external read circuitry. The cell has been designed to work with lower supply voltages, which helps in further degradation of the leakage power thus making the cell more efficient. II. LIMITATIONS OF 6T CELL In conventional 6T cell, as shown in figure 1, the memory element is accessed for read operations using access transistors. This leads in undesired delay to activate the access transistors and then sensing the bit lines. Figure 1. The Conventional 6T SRAM Cell. Q NM2 NM3 PM1 PM0 BL BLB WL VDD Qb NM0 NM1
  • 2. PN Vamsi Kiran et al., International Journal of Emerging Technologies in Computational and Applied Sciences, 9(2), June-August, 2014, pp. 119-123 IJETCAS 14-542; © 2014, IJETCAS All Rights Reserved Page 120 Also, the static noise margin (SNM) [2] [4] dramatically declines as the supply voltage is reduced. Reduced supply voltages may lead to data corruption and invalid data sensing from the cell, which would be an undesirable situation for practical designs. Another disadvantage of supply voltage reduction is delay in read operation which reduces the speed performance of the cell. On the other hand higher supply voltage leads to larger leakage power making the cell again inefficient. In the basic memory element i.e. cross-coupled inverters, the two nMOS transistors (NM0, NM1) are expected to have more strength as compared to the access transistors for proper read operation. III.THE 10T SRAM CELL STRUCTURE The SRAM structures have been designed to limit the noise in read operation by adding a different circuit for read operation which isolates the basic memory element from the external noise keeping the access transistors disabled while reading the cell. This addresses the drawback of the 6T cell read operation as discussed in section 2. The 10T structure, shown in figure 2, employs an inverter as read buffer [3] connected to the Qb (Q Bar) of the cell. Figure 2. Schematic of 10T SRAM Cell. As noted from the schematic that read buffer has been connected before the access transistors to access the memory element without having the requirement of switching them on. This reduces the time of reading by eliminating the switching time of access transistors as they are out of action during the read operation. However Q PM1 PM0 NM2 NM3 PM2 NM4 BL BLB WL VDD Qb NM0 NM1 Rdout REB RE PM3 NM5
  • 3. PN Vamsi Kiran et al., International Journal of Emerging Technologies in Computational and Applied Sciences, 9(2), June-August, 2014, pp. 119-123 IJETCAS 14-542; © 2014, IJETCAS All Rights Reserved Page 121 the write speed of the cell would still be slow which leads to degradation of write speed performance of the cell. The write waveform of the 10T structure is shown in figure 3 and read waveform in figure 4. Figure 3. Waveform for write operation of 10T SRAM Cell. Figure 4. Waveform of read operation of 10T SRAM Cell. According to the write waveform, Q and QBar (QB) depend on Bit Line (BL) and Bit Libe Bar (BLB) respectively. When write line (WL) is at high voltage (say, 1 V) then output Q depends on BL and QB depends upon BLB. The write 1 delay, 120 ps, is less than the write 0 delay 110 ps in revealed waveforms. According to read waveform, as the inverter is connected directly with memory element before the access transistor to make the read operation independent on access transistors and buffer is connected to inverter output consequently the read buffer is connected to circuit for robust and fast read operation at low voltage. The read output depends on read enable (RE) and read enable bar (REB) which are kept at opposite voltages. The interval at which both WL and RE are high, the read will occur. Hence the circuit becomes independent of bit lines and gives disturb free read operation. The read delay in the circuit is 50 ps. IV.POWER CONSUMPTION Power consumed in any circuit can be due to a number of parameters like sub-threshold leakage, temperature and also at larger supply voltage (Vdd) [7] [9] [12]. The 6T SRAM Cell, has more sub-threshold leakage as compared to 10T SRAM Cell due to read-disturb free circuit. The disturb-free read circuit, connected to output node Q before access transistor, reduces the delay and leakage throughout the read cycle since the circuit becomes independent of access transistors and hence does not depend on bit lines.
  • 4. PN Vamsi Kiran et al., International Journal of Emerging Technologies in Computational and Applied Sciences, 9(2), June-August, 2014, pp. 119-123 IJETCAS 14-542; © 2014, IJETCAS All Rights Reserved Page 122 Figure 5. Power versus temperature curve of 10T Cell. Figure 6. Power versus Vdd curve of 10T Cell. During the read cycle high voltage is stored at node Q, the transistor NM4 shows the leakage since it becomes off. Variation of power consumption with respect to temperature and supply voltage is plotted in figure 5 and figure 6 respectively. The overall circuit leakage power is reduced to 19.09 nW. The leakage power waveform is shown in figure 7. Figure 7. Leakage power waveform of 10T SRAM Cell.
  • 5. PN Vamsi Kiran et al., International Journal of Emerging Technologies in Computational and Applied Sciences, 9(2), June-August, 2014, pp. 119-123 IJETCAS 14-542; © 2014, IJETCAS All Rights Reserved Page 123 V.SIMULATION RESULTS The simulation of the 10T SRAM cell is performed with Cadence virtuoso tool at 45 nm technology. Calculation of different parameters is summarized in table 1. Results show that delay is improved to 13% and power has been reduced up to 36% with disturb-free read operation. The most important observation of this cell is that the read delay operation has been reduced up to 54% which can be clearly observed from table 1, thus making the read operation very fast. Table 1: Simulation Results as obtained from cadence. Sr.No. Parameter 6T Cell 10T Cell 1 Technology 45nm 45nm 2 Power 19.09 nW 12.09 nW 3 Write 1 Delay 138 ps 120 ps 4 Write 0 Delay 135 ps 110 ps 5 Read Delay 110 ps 50 ps 6 Supply Voltage 700 mV 700 mV VI.CONCLUSION As the paper was aimed at the analysis of high speed cell, we conclude that 10T SRAM, equipped with read- disturb free circuit has shown improved read delay performance thus increasing the SNM of the cell. Results, therefore confirm that 10T SRAM cell would be a better choice as compared to 6T cell to be employed in the practical circuits. ACKNOWLEDGMENT The endeavour in this paper was supported by ITM Universe, Gwalior, India in collaboration with Cadence System Design, Bangalore, India. REFERENCES [1] Jaydeep P. Kulkarni, Goel, A., Ndai, P., and Roy, K., 2011 “A Read-Disturb-Free, Differential Sensing 1R/1W Port, 8T Bitcell Array”, IEEE Transactions On Very Large Scale Integration (Vlsi) Systems, Vol. 19, No. 9. [2] Takeda, K., Hagihara, Y., Aimoto, Y., Nomura, M., Nakazawa, Y., Ishii, T., and Kobatake, H., 2006, “A Read-Static-Noise- Margin-Free SRAM Cell for Low-VDD and High-Speed Applications,” IEEE Journal Of Solid-State Circuits, Vol. 41, No. 1. [3] Kim, D., Chen, G., Fojtik, M., Seok, M., Blaauw, D., Sylvester, D., “A 1.85fW/bit Ultra Low Leakage 10T SRAM with Speed Compensation Scheme”, University of Michigan, Ann Arbor, MI USA. [4] Birla, S., Singh, R.K., and Pattnaik, M., 2011, “Static Noise Margin Analysis of Various SRAM Topologies”, IACSIT International Journal of Engineering and Technology, Vol.3, No.3. [5] Glocker, E., Schmitt-Landsiedel, D., and Drapatz, S., 2011, “Countermeasures against NBTI degradation on 6T-SRAM cells, Copernicus Publications”, Adv. Radio Sci., 9, 255–261. [6] Hamzaoglu, F., Zhang, K., Wang, Y., Ahn, H.J., Bhattacharya, U., Chen, Z., Ng, YG., Pavlov, A., Smits, K., Bohr, M., 2009, “A 3.8 GHz 153 Mb SRAM Design With Dynamic Stability Enhancement and Leakage Reduction in 45 nm High-k Metal Gate CMOS Technology”, IEEE Journal Of Solid-State Circuits, Vol. 44, No. 1. [7] Shamanna, G., Kshatri, B., Gaurav, R., Tew, Y.S., Marfatia, P., Raghavendra, Y., Naik, V., 2010 “Process Technology and Design Parameter Impact on SRAM Bit-Cell Sleep Effectiveness”, IEEE. [8] Nourivand, A., Wang, C., and Ahmad M. O., 2007, “An Adaptive Sleep Transistor Biasing Scheme for Low Leakage SRAM”, IEEE. [9] Okumura, S., Yoshimoto, S., Yamaguchi, K., Nakata, Y., Kawaguchi, H., and Yoshimoto, M., 2010 7T SRAM Enabling Low- Energy Simultaneous Block Copy, IEEE. [10] Flautner, K., Kim, N.S., Martin, S., Blaauw, D., Mudge, T., 2002, “Drowsy Caches: Simple Techniques for Reducing Leakage Power”, Proceedings of the 29th Annual International Symposium on Computer Architecture (ISCA.02), IEEE. [11] Zhang, K., Bhattacharya, U., Chen, Z., Hamzaoglu, F., Murray, D., Vallepalli, N., Wang, Y., Zheng, B., and Bohr, M., 2005, “SRAM Design on 65-nm CMOS Technology With Dynamic Sleep Transistor for Leakage Reduction”, IEEE Journal Of Solid- State Circuits, Vol. 40, No. 4. [12] Ding-Ming Kwai, 2006, “Standby Current Reduction of Compilable SRAM Using Sleep Transistor and Source Line Self Bias”, IEEE.