SlideShare a Scribd company logo
1 of 4
Download to read offline
Gyan Prakash, Umesh Dutta, Mohd. Tauheed Khan / International Journal of Engineering
            Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                   Vol. 2, Issue 5, September- October 2012, pp.1781-1784
                DYNAMIC POWER REDUCTION IN SRAM
            Gyan Prakash*, Umesh Dutta**, Mohd. Tauheed Khan***
                                 *(Department of ECE, AFSET, Faridabad)
               ** (Department of ECE, FET, Manav Rachna International University, Faridabad)
                                *** (Department of ECE, AFSET, Faridabad)


ABSTRACT
         To reduce the dynamic power                     mechanism. Proposed technique is described in
consumption in SRAM a new design technique is            section IV. Section V shows the simulation results
proposed here. The proposed technique is                 and finally in section VI conclusions are drawn.
compared with 8T SRAM cell design technique
using 0.18 micron technology. Simulation results         II. CONVENTIONAL 6T SRAM
indicates that the proposed technique provides an                  6T SRAM cell is most widely used in
improvement of 64% in bitline leakage ,22.64%            embedded memory because of its fast access time
in write ‘0’ power, 30.68% in write ‘1’ power            and relatively small area. 6T cell design involves
over 8T SRAM cell design technique.                      complex tradeoffs between various factors namely
                                                         minimizing cell area, good soft error immunity, high
Keywords - Dynamic power, scaling, leakage               cell read current, low leakage current, good cell
power, SRAM, process variation.                          stability with minimum voltage & minimum word
                                                         line pulse. The full CMOS 6T SRAM bit cell
I. INTRODUCTION                                          configuration is shown in Fig.1. Full CMOS SRAM
          Due to the rapid advancement in VLSI           configuration provides superior noise margin, low
technology over the last two decades , aggressive        static power dissipation, high switching speeds &
scaling of MOS transistor dimensions is becoming a       suitability for high density SRAM arrays [2]. Each
trend in order to achieve high packaging density         6T cell has a capability of storing one bit of data.
chips and improved performance . Supply voltage
and threshold voltage are also scaled in order to
maintain the reliable operation of the transistors.
Scaling results in increased active power dissipation
and this problem becomes more severe in deep
submicron region. The major part of the total power
dissipation comes from the leakage power and this
component is expected to rise for next generation
techniques. Leakage current increases exponentially
with the scaling of the device dimensions and this
poses a threat for battery operated devices.
Optimizing the device sizing voltage scaling &
switching activity reduction are some common
practices that are followed for reducing the power       Fig. 1 Traditional 6T SRAM cell
consumption. Since the dynamic power (Pd) in                       6T SRAM cell consists of two inverters
CMOS based circuits is directly proportional to the      connected back to back. M5 & M6 are access
square of the supply voltage, reducing supply            transistors which are controlled by the word line
voltage will definitely lead to considerable saving in   (WL) signal. The cell preserves one of its two
dynamic power, however leakage power reduces             possible states denoted as „0‟ and „1‟ as long as
linearly to the first order[1]. The performance of       power is available to the bit cell. Static power
SRAM cell is severely affected at low supply
                                                         dissipation is very small due the use of CMOS
voltage and the effect of process variation make the
                                                         inverters [3].
situation even more worse. In this paper we propose                There are three operations in SRAM
a design of 10-T SRAM that reduces the dynamic           memory cell namely write, read and hold operations.
power consumption without compromising much on           Read and write operations are initiated by asserting
other important design metrics . To prove the            the word-line (WL) signal. During write operation
effectiveness of the proposed technique , it is          the value to be written is applied to the bit lines and
compared with 8-T SRAM cell. This paper is               for read operation both BL and BLB is precharged to
organized as follows :                                   VDD. During the read operation the „0‟ storing node
          In section II conventional 6T SRAM cell is     is perturbed & this might flip the stored data,
discussed . Section III presents on overview of 8T       however the successful write operation requires that
SRAM cell along with its read and write operation

                                                                                               1781 | P a g e
Gyan Prakash, Umesh Dutta, Mohd. Tauheed Khan / International Journal of Engineering
             Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                      Vol. 2, Issue 5, September- October 2012, pp.1781-1784
data should be flipped very easily. Traditionally   IV. PROPOSED TECHNIQUE
device sizing has been adopted to balance the read                  The proposed 10T SRAM bit cell is shown
versus write design requirements [1].                     in Fig. 3. It contains 10 transistors. M1-M3 and M2-
                                                          M4 form a pair of cross coupled inverters. M5 & M6
III. 8T SRAM CELL                                         are access transistors; M7 & M8 constitute a
          Fig.2 shows the schematic diagram of 8T         separate path for read operation. M9 & M10 act as
SRAM cell. Due to the stability limitations of 6T         switches to conditionally connect the read path to
SRAM cell at low supply voltages, 8T SRAM is              any one of the write bit lines depending upon the last
suitable for multimedia applications [4].                 written data. M5 & M6 are controlled by word line
                                                          (WL) signal which is asserted during write cycle
                                                          only. M7 & M9 are controlled by read word line
                                                          (RWL) signal. Both WL & RWL signals are
                                                          controlled by a row decoder.




                                                          Fig. 3 Proposed 10-T SRAM CELL
Fig. 2 Schematic diagram of 8T SRAM cell                            Write operation of the proposed cell begins
          8T SRAM cell has the normal 6T SRAM             with WL going high which turns on M5 M6
design with a read decoupled path consisting of two       transistors and write operation is performed similar
NMOS transistors M5 & M6. Read operation of 8T            to that of 6T SRAM cell. Read operation begins first
SRAM is initiated by pre-charging the read bit line       pre-charging RBL line to full swing voltage & after
to full swing voltage. After pre-charging read bit        that RWL signal is asserted. This turns on M7 & M9
line, RWL is asserted that drives access transistor       transistors. Assume Q=‟1‟, so M8 will be off &
M5 on. If Q=0 then M6 is on & RBL discharges              hence no discharge current flows through read path,
through transistors M5 & M6 to ground. This               but when Q=‟0‟ then M8 will be on & hence RBL
decrease in the voltage of RBL is sensed by the           will discharge through M7, M8, M9/M10 to
sense amplifier. During read „1‟ operation, when          BL/BLB. This decrease in voltage of RBL is
Q=‟1‟ M6 remains off so there will be no discharge        detected by sense amplifier.
current flow through the read path. In this situation     A.        Power reduction mechanism of the
only a very small amount of leakage current flows         proposed technique :-
which is called bit line leakage. Write operation of      In order to reduce the bit line leakage reduction in
8T cell is similar to 6T cell but the pre-charge          read „1‟ mode an additional transistor is used in read
circuitry at the bit lines is replaced by write driver.   path. Bit line leakage is reduced by stacking effect.
                                                          M9 transistor act as a stacking transistor.




                                                                                               1782 | P a g e
Gyan Prakash, Umesh Dutta, Mohd. Tauheed Khan / International Journal of Engineering
                        Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                               Vol. 2, Issue 5, September- October 2012, pp.1781-1784
                                                                     It is the quite clear from the simulation results that
                                                                     the proposed 10T SRAM cell reduces the read power
            i.        In order to reduce the read power, the         consumption 66% at VDD=1.0 V as compared to 8T
            swing of read bit line (RBL) is reduced. During read     SRAM cell. The write „0‟ & write „1‟ powers are
            „0‟ operation charge is shared between RBL and BL        also reduced by 22.64% & 30.68% respectively.
            or RBL and BLB depending on the fact that the last                 Fig.4 shows the variation of bit line leakage
            written data was logic „0‟ or „1‟ respectively. Due to   with supply voltage scaling & this shows that bit line
            charge sharing RBL does not discharge completely         leakage is reduced by 64%. It must also be noted
            & stays at mid level voltage & so in the next cycle      that reduction in the read power with reduced supply
            pre-charge circuitry consumes less power to drive        is due to the fact that the level of RBL discharge
            the bit line from mid level value to the full swing      decreases with supply reduction.
            voltage.

            ii.       For write power reduction the proposed
            technique does not use pre-charge circuitry for the
            write bit lines instead a write driver is used that
            drives the bit lines high or low depending upon the
            data value. Write power reduction is possible only
            after read „0‟ operation, this can be understood as
            follows :- consider a write „0‟ operation followed by
            a write „1‟ operation. In this case initially BL=0 and
            BLB=1. During read „0‟ the read discharge flows
            through read path & M9 to BL & this charges BL
            partially. In next cycle of write „1‟ the write driver
            has to drive BL to logic 1 from an intermediate level
            voltage & this reduces the write power.
                                                                     Fig.4 Bit line leakage variation with supply voltage
            V. SIMULATION RESULTS                                    scaling
            Proposed 10T SRAM cell & 8T SRAM cell have
            been designed & simulated using Tanner SPICE             VI. CONCLUSION
            version 13.0 simulator & transistor models 0.18                    The feasibility of the proposed 10T SRAM
            micron technology were used. The impact of voltage       has been shown by means of simulation and
            scaling on the dynamic power consumption of 8T &         experimental results. The proposed technique
            proposed 10T SRAM cell is analyzed.                      provides an improvement in terms of dynamic power
                                                                     consumption as compared to 8T SRAM cell. As
SU     READ       WRITE ‘1’       WRITE ‘0’       BITLINE            already shown in the simulation results that the
PP     ‘0’                                                           proposed technique reduces the bit line leakage by
LY                                                                   64%, write „0‟ & write „1‟ powers are reduced by
VO     POWER(     POWER(µ         POWER(µ         LEAKAGE(nA)        22.64% & 30.68% respectively as compared to 8T
LT     µW)        W)              W)                                 SRAM cell. An improvement of 66% is achieved in
AG                                                                   terms of read power consumption at supply voltage
E                                                                    of 1V. These improvements are achieved at the cost
(Vo    TECHNI    TECHNIQ       TECHNIQ            TECHNIQUE          of increased layout area due to addition of two extra
lts)   QUE       UE            UE                                    transistors.
       8T  PR 8T         PR    8T     PR          8T         PRO
       CE  OP            OP           OP                     POS     ACKNOWLEDGEMENTS
       LL  OS            OS           OS                     ED      The authors thank Prof. Dutta for his kind help and
           ED            ED           ED                             encouragement.
           10            10T CEL 10T              CELL       10T
           T     CEL CE        L      CE                     CEL     REFERENCES
           CE L          LL           LL                     L          [1]   Jaydeep P. Kulkarni,Keejong Kim,Sang
           LL                                                                 Phill Park and Kaushik Roy,”Process
1.2    25  9.9 25.4 16.        22.7 17.          225         56.5             Variation Tolerant SRAM Array for Ultra
1.1    20. 8.0 21.3 15.
           6     5       67    18.4 15.
                               9      19         215         63               Low      Voltage     Applications”    465
1      18.
       85  6.2 3
           1     17.6 7  12.   15.9 01
                               1      12.        205         73.4             Nprthwestern Avenue, West Lafayette, IN,
0.9    14.
       34  4.1 14.3 26
           5             10.   13.0 3
                               8      10.        185         78               USA 765-494- 9448
0.8    11.
       2   3.5 4
           3     11.1 24 8.0   10.2 12
                               6      8.2        155         82        [2]    Sung-Mo Kang, Yusuf Leblebici, “CMOS
0.7    8.0
       12  2.1 7
           5     8.43 5  6.6   7.53 1
                               6      6.5        140         76.6             Digital Integrated Circuits- Analysis and
       5   Table 1 power 6consumption of 8T
                                      8         & proposed   10T              Design”, Third Edition Tata McGraw-Hill
           SRAM cell.                                                         Edition, New Delhi, India.

                                                                                                           1783 | P a g e
Gyan Prakash, Umesh Dutta, Mohd. Tauheed Khan / International Journal of Engineering
         Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                Vol. 2, Issue 5, September- October 2012, pp.1781-1784

[3]    A.Pavlov, M. Sachdev,“CMOS SRAM
       Circuit Design and Parametric Test in
       Nano-Scaled Technologies ,” Springer
       2008.
[4]    H. Fujiwara, K. Nii, H. Noguchi, J.
       Miyakoshi, Y. Murachi, Y. Morita,
       H.Kawaguchi,       M.Yoshimoto,       “Novel
       Video Memory Reduces 45% of Bitline
       Power Using Majority Logic and Data-Bit
       Reordering,” IEEE Transactions On Very
       Large Scale Integration (VLSI) Systems,
       Vol. 16, No. 6, June 2008.
[5]    N. Verma, A. P. Chandrakasan, “A 256 kb
       65 nm 8T Subthreshold SRAM Employing
       Sense-Amplifier      Redundancy,”       IEEE
       Journal Of Solid-State Circuits, Vol.43, No.
       1, January 2008.
[6]    J. Rabaey, “Low Power Design Essentials,”
       Springer 2009.
[7]    Kulkarni, J.P.; Roy, K.; , "Ultralow-Voltage
       Process-Variation-Tolerant           Schmitt-
       Trigger-Based SRAM Design," IEEE
       Transactions on Very Large Scale
       Integration (VLSI) Systems,, vol.20, no.2,
       pp.319-332, Feb. 2012.
[8]     C.H.     Kim,      L.     Chang,     “Guest
       Editors‟Introduction: Nanoscale Memories
       Pose Unique Challenges” IEEE Design &
       Test of Computers, January/February 2011
[9]    M. Qazi, M. E. Sinangil, A. P.
       Chandrakasan, “Challenges and Directions
       for Low-Voltage SRAM” IEEE Design &
       Test of Computers, January/February 2011.
[10]   I. J. Chang, J. Kim, S. P. Park, and K. Roy,
       “A 32 kb 10T sub-threshold SRAM array
       with bit-interleaving and differential read
       scheme in 90 nm CMOS,” IEEE J. Solid
       State Circuits, vol. 44, no. 2, pp. 650–658,
       Feb. 2009.




                                                                         1784 | P a g e

More Related Content

What's hot

10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project ReportJie Song
 
Sram memory design
Sram memory designSram memory design
Sram memory designNIT Goa
 
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET Journal
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memoryiosrjce
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tooleSAT Publishing House
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...VLSICS Design
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operationidescitation
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872RoobanS4
 
Design and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power ApplicationDesign and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power ApplicationIRJET Journal
 

What's hot (16)

10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report
 
Mu2421122117
Mu2421122117Mu2421122117
Mu2421122117
 
Sram memory design
Sram memory designSram memory design
Sram memory design
 
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tool
 
B0540714
B0540714B0540714
B0540714
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
 
Df33642645
Df33642645Df33642645
Df33642645
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
SRAM Design
SRAM DesignSRAM Design
SRAM Design
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872
 
Design and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power ApplicationDesign and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power Application
 
Cx33596601
Cx33596601Cx33596601
Cx33596601
 
REALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCH
REALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCHREALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCH
REALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCH
 

Viewers also liked (20)

Ib2514451452
Ib2514451452Ib2514451452
Ib2514451452
 
Li2519631970
Li2519631970Li2519631970
Li2519631970
 
Jq2517021708
Jq2517021708Jq2517021708
Jq2517021708
 
Lo2520082015
Lo2520082015Lo2520082015
Lo2520082015
 
I25038042
I25038042I25038042
I25038042
 
Ku2518881893
Ku2518881893Ku2518881893
Ku2518881893
 
Ky2519111913
Ky2519111913Ky2519111913
Ky2519111913
 
Lz2520802095
Lz2520802095Lz2520802095
Lz2520802095
 
Jn2516891694
Jn2516891694Jn2516891694
Jn2516891694
 
Jh2516461655
Jh2516461655Jh2516461655
Jh2516461655
 
Id2514581462
Id2514581462Id2514581462
Id2514581462
 
J25043046
J25043046J25043046
J25043046
 
It2515601565
It2515601565It2515601565
It2515601565
 
Lm2519942003
Lm2519942003Lm2519942003
Lm2519942003
 
Je2516241630
Je2516241630Je2516241630
Je2516241630
 
Km2518391842
Km2518391842Km2518391842
Km2518391842
 
Ki2518101816
Ki2518101816Ki2518101816
Ki2518101816
 
Ls2520342037
Ls2520342037Ls2520342037
Ls2520342037
 
Af31231237
Af31231237Af31231237
Af31231237
 
Ingesport Corpo Wellness
Ingesport Corpo WellnessIngesport Corpo Wellness
Ingesport Corpo Wellness
 

Similar to Kc2517811784

A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMJose Angel Mitma Mollisaca
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)theijes
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cellidescitation
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellIJERA Editor
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET Journal
 
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...iosrjce
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET Journal
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET Journal
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET Journal
 

Similar to Kc2517811784 (20)

A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
Fj3110731078
Fj3110731078Fj3110731078
Fj3110731078
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAM
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
 
L24093097
L24093097L24093097
L24093097
 
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
 

Kc2517811784

  • 1. Gyan Prakash, Umesh Dutta, Mohd. Tauheed Khan / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.1781-1784 DYNAMIC POWER REDUCTION IN SRAM Gyan Prakash*, Umesh Dutta**, Mohd. Tauheed Khan*** *(Department of ECE, AFSET, Faridabad) ** (Department of ECE, FET, Manav Rachna International University, Faridabad) *** (Department of ECE, AFSET, Faridabad) ABSTRACT To reduce the dynamic power mechanism. Proposed technique is described in consumption in SRAM a new design technique is section IV. Section V shows the simulation results proposed here. The proposed technique is and finally in section VI conclusions are drawn. compared with 8T SRAM cell design technique using 0.18 micron technology. Simulation results II. CONVENTIONAL 6T SRAM indicates that the proposed technique provides an 6T SRAM cell is most widely used in improvement of 64% in bitline leakage ,22.64% embedded memory because of its fast access time in write ‘0’ power, 30.68% in write ‘1’ power and relatively small area. 6T cell design involves over 8T SRAM cell design technique. complex tradeoffs between various factors namely minimizing cell area, good soft error immunity, high Keywords - Dynamic power, scaling, leakage cell read current, low leakage current, good cell power, SRAM, process variation. stability with minimum voltage & minimum word line pulse. The full CMOS 6T SRAM bit cell I. INTRODUCTION configuration is shown in Fig.1. Full CMOS SRAM Due to the rapid advancement in VLSI configuration provides superior noise margin, low technology over the last two decades , aggressive static power dissipation, high switching speeds & scaling of MOS transistor dimensions is becoming a suitability for high density SRAM arrays [2]. Each trend in order to achieve high packaging density 6T cell has a capability of storing one bit of data. chips and improved performance . Supply voltage and threshold voltage are also scaled in order to maintain the reliable operation of the transistors. Scaling results in increased active power dissipation and this problem becomes more severe in deep submicron region. The major part of the total power dissipation comes from the leakage power and this component is expected to rise for next generation techniques. Leakage current increases exponentially with the scaling of the device dimensions and this poses a threat for battery operated devices. Optimizing the device sizing voltage scaling & switching activity reduction are some common practices that are followed for reducing the power Fig. 1 Traditional 6T SRAM cell consumption. Since the dynamic power (Pd) in 6T SRAM cell consists of two inverters CMOS based circuits is directly proportional to the connected back to back. M5 & M6 are access square of the supply voltage, reducing supply transistors which are controlled by the word line voltage will definitely lead to considerable saving in (WL) signal. The cell preserves one of its two dynamic power, however leakage power reduces possible states denoted as „0‟ and „1‟ as long as linearly to the first order[1]. The performance of power is available to the bit cell. Static power SRAM cell is severely affected at low supply dissipation is very small due the use of CMOS voltage and the effect of process variation make the inverters [3]. situation even more worse. In this paper we propose There are three operations in SRAM a design of 10-T SRAM that reduces the dynamic memory cell namely write, read and hold operations. power consumption without compromising much on Read and write operations are initiated by asserting other important design metrics . To prove the the word-line (WL) signal. During write operation effectiveness of the proposed technique , it is the value to be written is applied to the bit lines and compared with 8-T SRAM cell. This paper is for read operation both BL and BLB is precharged to organized as follows : VDD. During the read operation the „0‟ storing node In section II conventional 6T SRAM cell is is perturbed & this might flip the stored data, discussed . Section III presents on overview of 8T however the successful write operation requires that SRAM cell along with its read and write operation 1781 | P a g e
  • 2. Gyan Prakash, Umesh Dutta, Mohd. Tauheed Khan / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.1781-1784 data should be flipped very easily. Traditionally IV. PROPOSED TECHNIQUE device sizing has been adopted to balance the read The proposed 10T SRAM bit cell is shown versus write design requirements [1]. in Fig. 3. It contains 10 transistors. M1-M3 and M2- M4 form a pair of cross coupled inverters. M5 & M6 III. 8T SRAM CELL are access transistors; M7 & M8 constitute a Fig.2 shows the schematic diagram of 8T separate path for read operation. M9 & M10 act as SRAM cell. Due to the stability limitations of 6T switches to conditionally connect the read path to SRAM cell at low supply voltages, 8T SRAM is any one of the write bit lines depending upon the last suitable for multimedia applications [4]. written data. M5 & M6 are controlled by word line (WL) signal which is asserted during write cycle only. M7 & M9 are controlled by read word line (RWL) signal. Both WL & RWL signals are controlled by a row decoder. Fig. 3 Proposed 10-T SRAM CELL Fig. 2 Schematic diagram of 8T SRAM cell Write operation of the proposed cell begins 8T SRAM cell has the normal 6T SRAM with WL going high which turns on M5 M6 design with a read decoupled path consisting of two transistors and write operation is performed similar NMOS transistors M5 & M6. Read operation of 8T to that of 6T SRAM cell. Read operation begins first SRAM is initiated by pre-charging the read bit line pre-charging RBL line to full swing voltage & after to full swing voltage. After pre-charging read bit that RWL signal is asserted. This turns on M7 & M9 line, RWL is asserted that drives access transistor transistors. Assume Q=‟1‟, so M8 will be off & M5 on. If Q=0 then M6 is on & RBL discharges hence no discharge current flows through read path, through transistors M5 & M6 to ground. This but when Q=‟0‟ then M8 will be on & hence RBL decrease in the voltage of RBL is sensed by the will discharge through M7, M8, M9/M10 to sense amplifier. During read „1‟ operation, when BL/BLB. This decrease in voltage of RBL is Q=‟1‟ M6 remains off so there will be no discharge detected by sense amplifier. current flow through the read path. In this situation A. Power reduction mechanism of the only a very small amount of leakage current flows proposed technique :- which is called bit line leakage. Write operation of In order to reduce the bit line leakage reduction in 8T cell is similar to 6T cell but the pre-charge read „1‟ mode an additional transistor is used in read circuitry at the bit lines is replaced by write driver. path. Bit line leakage is reduced by stacking effect. M9 transistor act as a stacking transistor. 1782 | P a g e
  • 3. Gyan Prakash, Umesh Dutta, Mohd. Tauheed Khan / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.1781-1784 It is the quite clear from the simulation results that the proposed 10T SRAM cell reduces the read power i. In order to reduce the read power, the consumption 66% at VDD=1.0 V as compared to 8T swing of read bit line (RBL) is reduced. During read SRAM cell. The write „0‟ & write „1‟ powers are „0‟ operation charge is shared between RBL and BL also reduced by 22.64% & 30.68% respectively. or RBL and BLB depending on the fact that the last Fig.4 shows the variation of bit line leakage written data was logic „0‟ or „1‟ respectively. Due to with supply voltage scaling & this shows that bit line charge sharing RBL does not discharge completely leakage is reduced by 64%. It must also be noted & stays at mid level voltage & so in the next cycle that reduction in the read power with reduced supply pre-charge circuitry consumes less power to drive is due to the fact that the level of RBL discharge the bit line from mid level value to the full swing decreases with supply reduction. voltage. ii. For write power reduction the proposed technique does not use pre-charge circuitry for the write bit lines instead a write driver is used that drives the bit lines high or low depending upon the data value. Write power reduction is possible only after read „0‟ operation, this can be understood as follows :- consider a write „0‟ operation followed by a write „1‟ operation. In this case initially BL=0 and BLB=1. During read „0‟ the read discharge flows through read path & M9 to BL & this charges BL partially. In next cycle of write „1‟ the write driver has to drive BL to logic 1 from an intermediate level voltage & this reduces the write power. Fig.4 Bit line leakage variation with supply voltage V. SIMULATION RESULTS scaling Proposed 10T SRAM cell & 8T SRAM cell have been designed & simulated using Tanner SPICE VI. CONCLUSION version 13.0 simulator & transistor models 0.18 The feasibility of the proposed 10T SRAM micron technology were used. The impact of voltage has been shown by means of simulation and scaling on the dynamic power consumption of 8T & experimental results. The proposed technique proposed 10T SRAM cell is analyzed. provides an improvement in terms of dynamic power consumption as compared to 8T SRAM cell. As SU READ WRITE ‘1’ WRITE ‘0’ BITLINE already shown in the simulation results that the PP ‘0’ proposed technique reduces the bit line leakage by LY 64%, write „0‟ & write „1‟ powers are reduced by VO POWER( POWER(µ POWER(µ LEAKAGE(nA) 22.64% & 30.68% respectively as compared to 8T LT µW) W) W) SRAM cell. An improvement of 66% is achieved in AG terms of read power consumption at supply voltage E of 1V. These improvements are achieved at the cost (Vo TECHNI TECHNIQ TECHNIQ TECHNIQUE of increased layout area due to addition of two extra lts) QUE UE UE transistors. 8T PR 8T PR 8T PR 8T PRO CE OP OP OP POS ACKNOWLEDGEMENTS LL OS OS OS ED The authors thank Prof. Dutta for his kind help and ED ED ED encouragement. 10 10T CEL 10T CELL 10T T CEL CE L CE CEL REFERENCES CE L LL LL L [1] Jaydeep P. Kulkarni,Keejong Kim,Sang LL Phill Park and Kaushik Roy,”Process 1.2 25 9.9 25.4 16. 22.7 17. 225 56.5 Variation Tolerant SRAM Array for Ultra 1.1 20. 8.0 21.3 15. 6 5 67 18.4 15. 9 19 215 63 Low Voltage Applications” 465 1 18. 85 6.2 3 1 17.6 7 12. 15.9 01 1 12. 205 73.4 Nprthwestern Avenue, West Lafayette, IN, 0.9 14. 34 4.1 14.3 26 5 10. 13.0 3 8 10. 185 78 USA 765-494- 9448 0.8 11. 2 3.5 4 3 11.1 24 8.0 10.2 12 6 8.2 155 82 [2] Sung-Mo Kang, Yusuf Leblebici, “CMOS 0.7 8.0 12 2.1 7 5 8.43 5 6.6 7.53 1 6 6.5 140 76.6 Digital Integrated Circuits- Analysis and 5 Table 1 power 6consumption of 8T 8 & proposed 10T Design”, Third Edition Tata McGraw-Hill SRAM cell. Edition, New Delhi, India. 1783 | P a g e
  • 4. Gyan Prakash, Umesh Dutta, Mohd. Tauheed Khan / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.1781-1784 [3] A.Pavlov, M. Sachdev,“CMOS SRAM Circuit Design and Parametric Test in Nano-Scaled Technologies ,” Springer 2008. [4] H. Fujiwara, K. Nii, H. Noguchi, J. Miyakoshi, Y. Murachi, Y. Morita, H.Kawaguchi, M.Yoshimoto, “Novel Video Memory Reduces 45% of Bitline Power Using Majority Logic and Data-Bit Reordering,” IEEE Transactions On Very Large Scale Integration (VLSI) Systems, Vol. 16, No. 6, June 2008. [5] N. Verma, A. P. Chandrakasan, “A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy,” IEEE Journal Of Solid-State Circuits, Vol.43, No. 1, January 2008. [6] J. Rabaey, “Low Power Design Essentials,” Springer 2009. [7] Kulkarni, J.P.; Roy, K.; , "Ultralow-Voltage Process-Variation-Tolerant Schmitt- Trigger-Based SRAM Design," IEEE Transactions on Very Large Scale Integration (VLSI) Systems,, vol.20, no.2, pp.319-332, Feb. 2012. [8] C.H. Kim, L. Chang, “Guest Editors‟Introduction: Nanoscale Memories Pose Unique Challenges” IEEE Design & Test of Computers, January/February 2011 [9] M. Qazi, M. E. Sinangil, A. P. Chandrakasan, “Challenges and Directions for Low-Voltage SRAM” IEEE Design & Test of Computers, January/February 2011. [10] I. J. Chang, J. Kim, S. P. Park, and K. Roy, “A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS,” IEEE J. Solid State Circuits, vol. 44, no. 2, pp. 650–658, Feb. 2009. 1784 | P a g e