SlideShare a Scribd company logo
MATRUSRI ENGINEERING COLLEGE
DEPARTMENT OF ELECTRONICS AND COMMUNICATION
ENGINEERING
SUBJECT NAME: DIGITAL ELECTONICS
FACULTY NAME: Mrs. B. Indira Priyadarshini
MATRUSRI
ENGINEERING COLLEGE
INTRODUCTION:
GIVES A DETAILED PRESENTATION OF SYNCHRONOUS SEQUENTIAL
CIRCUITS (FINITE STATE MACHINES). IT EXPLAINS THE BEHAVIOR OF THESE
CIRCUITS AND DEVELOPS PRACTICAL DESIGN TECHNIQUES FOR BOTH
MANUAL AND AUTOMATED DESIGN. DEALS WITH A GENERAL CLASS OF
CIRCUITS IN WHICH THE OUTPUTS DEPEND ON THE PAST BEHAVIOR OF THE
CIRCUIT, AS WELL AS ON THE PRESENT VALUES OF INPUTS. THEY ARE
CALLED SEQUENTIAL CIRCUITS. IN MOST CASES A CLOCK SIGNAL IS USED TO
CONTROL THE OPERATION OF A SEQUENTIAL CIRCUIT; SUCH A CIRCUIT IS
CALLED A SYNCHRONOUS SEQUENTIAL CIRCUIT.
UNIT-V
OUTCOMES:
After successful completion of this Unit students should be able to
Analyze, design and implement sequential logic circuits in terms of state
machines.
Solve ASM for simple application
MATRUSRI
ENGINEERING COLLEGE
CONTENTS:
BASIC DESIGN STEPS
FSM REPRESENTATION USING MOORE STATE MODELS
FSM REPRESENTATION USING MEALY STATE MODELS
OUTCOMES:
Students will be able to design Mealy and Moore FSM models for completely
and incompletely specified circuits.
MODULE-I: Synchronous Sequential
Circuits
MATRUSRI
ENGINEERING COLLEGE
Synchronous Sequential Circuits
MATRUSRI
ENGINEERING COLLEGE
A circuit whose output(s) depend on past behaviour, and present inputs
•Clock is used => synchronous sequential circuits
•No clock => asynchronous sequence circuits
Also called Finite state machine (FSM)
State elements in synchronous sequential circuits are edge triggered
•To ensure state changes only once in a single cycle.
Synchronous Sequential Circuits
MATRUSRI
ENGINEERING COLLEGE
Synchronous sequential circuits are of two types:
•Moore output depends only n state
•Mealy: output depends on state and inputs
Basic Design Steps
MATRUSRI
ENGINEERING COLLEGE
The procedure for designing synchronous sequential circuits can be
summarized by a list of recommended steps.
1. From the word description and specifications of the desired operation,
derive a state diagram for the circuit.
2. Reduce the number of states if necessary.
3. Assign binary values to the states.
4. Obtain the binary-coded state table.
5. Choose the type of flip-flops to be used.
6. Derive the simplified flip-flop input equations and output equations.
7. Draw the logic diagram
FSM representation using Moore state
models
MATRUSRI
ENGINEERING COLLEGE
Suppose that we want a circuit with the following characteristics:
• One input w, and one output z
• Positive-edge-triggered design
• z = 1, if w = 1 during two consecutive clock cycles
Notes: using only input, we can not find an expression for output
•Hence need a state information – FSM
Develop State Diagram
MATRUSRI
ENGINEERING COLLEGE
The conceptually simplest method is to use a pictorial representation in the
form of a state diagram.
Optional to develop
One form to represent a FSM:
• How many states: States are circles
• Transitions between states: Transitions are directed edges
• Starting state: i.e. after reset/clear
Note in figure, reset is not treated as input: To simplify figure.
Develop State Table
MATRUSRI
ENGINEERING COLLEGE
Another way to describe a FSM
When implemented in a logic circuit, each state is represented by a particular
valuation (combination of values) of state variables.
It contains information on:
• States of the machine
• Transitions from all states, for all possible inputs
• Output values
• Reset information ignored: State A is assumed to be “start” state
Develop State Assignment
MATRUSRI
ENGINEERING COLLEGE
Find number of flip/flops needed to represent state
•No. of FFs = log2(no. of states)
Assign each state a combination of values of state variables
• “State assigned table”
• All unused variable combination are normally used as don’t cares
Below is the resulting table after state assignment
Notice that:
•Output depends on current state only - Moore type
•2 state variables are sufficient to represent 3 states
•Y1 & Y2 are next-state variables, y1&y2 are present-state variables
Need to decide type of FF to use as state element
Use D-FF since it is easiest
D1 = Y1, and D2 = Y2
For every next state and output, derive their function from present state and
input
Develop State Assignment
MATRUSRI
ENGINEERING COLLEGE
Y1 = w.y1y2
Y2 = w(y1+y2 )
z = y2
•State assignments has direct relation to the cost of derived implementation
Some state assignments are better than others
•Using the new state assignment a more cost effective realization in possible
Y1 = w, cheaper
Y2 = wy1, cheaper
z = y2 , same cost
Present state
y2
y1
Next state Output
Z
w = 0 w = 1
Y2
Y1
Y2
Y1
A
B
C
D
00
01
10
11
00 01
00 10
00 10
d d
0
0
1
d
Present state
y2
y1
Next state Output
Z
w = 0 w = 1
Y2
Y1
Y2
Y1
A
B
C
D
00
01
11
10
00 01
00 10
00 10
d d
0
0
1
d
Function Realization
MATRUSRI
ENGINEERING COLLEGE
Resulting Logic Circuit
MATRUSRI
ENGINEERING COLLEGE
Timing Diagram of Realization
MATRUSRI
ENGINEERING COLLEGE
FSM representation using Mealy state
models
MATRUSRI
ENGINEERING COLLEGE
Output values are generated using state & present inputs
State diagram State Table
State Assigned Table Logic Diagram
Y = D = w z = wy
Timing Diagram of Mealy Machine
MATRUSRI
ENGINEERING COLLEGE
Mealy implementation is more cost effective than Moore implementation
•However, circuit can be modified so that it behaves like a Moore machine
Note how output change based on state and input
1. Moore machine produces an output over the change of transition states.
2. In mealy machine, the O/P depends upon present states and inputs.
3. The relationship that exists among the inputs, outputs, present states and
next states can be specified by either the state table or the state diagram.
4. A state-transition table is a table showing what state a finite-state
machine will move to, based on the current state and other inputs.
Questions & Answers
MATRUSRI
ENGINEERING COLLEGE
CONTENTS:
STATE MINIMIZATION
PARTITIONING MINIMIZATION PROCEDURE
OUTCOMES:
Students will be able to design a more complex FSM with fewer flips-flops.
MODULE-II: State Minimization
MATRUSRI
ENGINEERING COLLEGE
State Minimization
MATRUSRI
ENGINEERING COLLEGE
Two states Si and Sj are said to be equivalent if and only if for every possible
input sequence, the same output sequence will be produced regardless of
whether Si or Sj is the initial state.
Lower no. of states => lower no. of FFs
Solved using “partitioning minimization procedure”
Partition: A set of states
A partition consists of one or more blocks, where each block comprises a
subset of states that may be equivalent, but the states in a given block are
definitely not equivalent to the states in other blocks.
States in a partition may be equivalent.
Not equivalent to states in other partitions
State Minimization
MATRUSRI
ENGINEERING COLLEGE
•P1 = (ABCDEFG)
Partition based on output z
•P2 = (ABD)(CEFG),
Partition based on 0- & 1-successor for
block (ABD) & (CEFG)
•P3 = (ABD)(CEG)(F),
Partition based on 0- & 1-successor for
block (ABD) & (CEG),
•P4 = (AD)(B)(CEG)(F)
Partition based on 0- & 1-successor for block
(AD) & (CEG), => Final
•Final Partitions: P5 = (AD)(B)(CEG)(F)
2 FFs are sufficient after state minimization instead of 3
Incompletely Specified FSMs
MATRUSRI
ENGINEERING COLLEGE
The partitioning scheme for minimization of states works well when all
entries in the state table are specified. FSMs of this type are said to be
completely specified.
If one or more entries in the state table are not specified, corresponding to
don’t-care conditions, then the FSM is said to be incompletely specified.
Affects the number of minimized states
Assume x’s are zeros:
P1 = (ABCDEFG)
P2 = (ABDG)(CEF),
P3 = (AB)(D)(G)(CE)(F),
P4 = (A)(B)(D)(G)(CE)(F),
P5 = P4 => 6 states
Assume x’s are ones:
P1 = (ABCDEFG)
P2 = (AD)(BCEFG),
P3 = (AD)(B)(CEFG),
P4 = (AD)(B)(CEG)(F),
P5 = P4 => 4 states
1. State Minimizing reduces the number of flips-flops used in the FSM.
2. State Minimizing reduces the complexity of the combinational circuit
needed in the FSM.
3. By state minimization, two different FSMs may exhibit identical behavior
in terms of the outputs produced in response to all possible inputs.
4. If one or more entries in the state table are not specified, corresponding to
don’t-care conditions, then the FSM is said to be incompletely specified.
Questions & Answers
MATRUSRI
ENGINEERING COLLEGE
CONTENTS:
ASM CHART
ASM BLOCK
SIMPLIFICATIONS AND TIMING CONSIDERATIONS WITH DESIGN EXAMPLE.
OUTCOMES:
Students will be able to design algorithmic state machines.
MODULE-V: ALGORITHMIC STATE
MACHINES (ASMs)
MATRUSRI
ENGINEERING COLLEGE
ASM
MATRUSRI
ENGINEERING COLLEGE
The design of the logic of a digital system can be divided into two distinct
efforts.
One part is concerned with designing the digital circuits that perform the
data-processing operations.
The other part is concerned with designing the control circuits that determine
the sequence in which the various manipulations of data are performed.
ASM Chart
MATRUSRI
ENGINEERING COLLEGE
ASM chart resembles a conventional flowchart describes the sequence of
events, i.e., the ordering of events in time, as well as the timing relationship
between the states of sequence controller and the events that occur while
going from one sate to the next.
An ASM chart is composed of three basic elements:
State box: Conditional box:
Decision box:
Example
MATRUSRI
ENGINEERING COLLEGE
Moore Model: Mealy Model:
ASM Block
MATRUSRI
ENGINEERING COLLEGE
An ASM block is a structure consisting of one state box and all the decision and
conditional boxes connected to its exit path.
An ASM block has one entrance and any number of exit paths represented by
the structure of the decision boxes.
An ASM chart consists of one or more interconnected blocks.
Example:
Simplifications
MATRUSRI
ENGINEERING COLLEGE
State diagram equivalent to the ASM chart:
Decision box can be simplified by labelling only the edge corresponding to the
asserted decision variable and leaving the other edge without a label.
A further it omits the edges corresponding to the state transitions that occur
when a reset condition is asserted.
•Output signals that are not asserted are not shown on the chart.
•Presence of the name of an output signal indicates that it is asserted.
Timing Considerations
MATRUSRI
ENGINEERING COLLEGE
Transition between states:
The timing for all registers and flip-flops in a digital system is controlled by a
master- clock generator.
The clock pulses are applied not only to the registers of the datapath, but also
to all the flip-flops in the state machine implementing the control unit.
1. While converting a FSM state diagram to an ASM chart, every FSM state
will map into an ASM Block.
2. What are the three basic elements in an ASM chart?
Ans: State Box, Decision Box, Conditional box
3. Difference in conventional flowchart and ASM chart is time relationship.
4. State box without decision and conditional box is simple block.
5. In ASM design flip-flops are considered to be positive edge triggered.
Questions & Answers
MATRUSRI
ENGINEERING COLLEGE
CONTENTS:
A SIMPLE ARBITER
OUTCOMES:
Student will able to design and implement a FSM for serial adder
MODULE-VI: Additional Topic
MATRUSRI
ENGINEERING COLLEGE
A Simple Arbiter
MATRUSRI
ENGINEERING COLLEGE
When various devices need to use the resource, they have to request to do so.
These requests are handled by an arbiter circuit.
Arbitration structure
Handshake signaling
Communication between two entities in the asynchronous environment,
known as handshake signaling.
A Simple Arbiter
MATRUSRI
ENGINEERING COLLEGE
State diagram ASM Chart
A Simple Arbiter
MATRUSRI
ENGINEERING COLLEGE
Modified State diagram
Flow Table
Excitation Table
Y1
= r2
r1
+ r1
y2
Y2
= r2
r1
+ r2
y2
g1
= y1
g2
= y2
A Simple Arbiter
MATRUSRI
ENGINEERING COLLEGE
An alternative for avoiding a critical race
Flow Table
Excitation Table
Y1
= r1
y2
Y2
= r1
r2
y1
+ r2
y2
g1
= y1
g2
= y2
A Simple Arbiter
MATRUSRI
ENGINEERING COLLEGE
Mealy model for the arbiter FSM
State diagram:
Flow Table:
Excitation Table:
Y = r2
r1
+ r1
y + r2
y
g1
= r1
y
g2
= r2
y
1. When various devices need to use the resource, then requests are handled
by an arbiter circuit.
2. Each device communicates with the arbiter by means of two
signals—Request and Grant.
3. Communication between two entities in the asynchronous environment,
known as handshake signaling.
4. The time elapsed between the changes in the cause-effect signals depends
on the specific implementation of the circuit.
Questions & Answers
MATRUSRI
ENGINEERING COLLEGE
Question Bank
MATRUSRI
ENGINEERING COLLEGE
Short Answer Question
S.No Question
Blooms
Taxonomy
Level
Course
Outcome
1 Define ASM Block and explain with example. L2 CO4
2 Draw ASM chart for the arbiter FSM. L1 CO4
3 Explain transition and flow table in asynchronous
sequential circuit.
L2 CO4
4 List out the elements of ASM chart and their operation. L1 CO4
5 Draw ASM chart for vending machine. L1 CO4
6 Explain Hazards in combinational circuits with examples. L2 CO4
7 Differentiate between state table and flow table. L3 CO4
8 Draw ASM chart for given FSM model
shown below.
L1 CO4
9 Differentiate between ASM and ASMD chart. L3 CO4
10 Explain simplifications and timing considerations. L2 CO4
PS Input X
0 1
A
B
C
D
E
B/0 E/0
A/1 C/1
B/0 C/1
C/0 E/0
D/1 A/0
Question Bank
MATRUSRI
ENGINEERING COLLEGE
Long Answer Question
S.No Question
Blooms
Taxonomy
Level
Course
Outcome
1 Design vending machine controller and implement its verilog
code.
L5 CO4
2 Analyze given asynchronous sequential circuit and obtain its
state table and timing diagram.
L5 CO4
3 Explain controller design with one hot design. L2 CO4
4 With neat ASM chart and Verilog code, explain Binary
multiplier.
L2 CO4
5 Describe steps involved in an analysis procedure of
asynchronous sequential circuits.
L5 CO4
Question Bank
MATRUSRI
ENGINEERING COLLEGE
Long Answer Question
S.No Question
Blooms
Taxonomy
Level
Course
Outcome
6 Derive a flow table that describes the behaviour of the as
shown
L3 CO4
7 Analyze the given asynchronous sequential circuit. L5 CO4
Assignment Questions
MATRUSRI
ENGINEERING COLLEGE
1. Analyze given asynchronous sequential circuit and obtain its state table
and timing diagram.
2. With the help of block diagram, explain fundamental mode asynchronous
sequential machine.
3. Explain one hot state controller design.
4. Explain Binary multiplier with neat ASMD chart and write a verilog code.
5. Design vending machine controller. Draw its ASM chart and implement its
verilog code.

More Related Content

What's hot

Analysis sequential circuits
Analysis sequential circuitsAnalysis sequential circuits
Analysis sequential circuits
G Subramaniamg
 
Microprogram Control
Microprogram Control Microprogram Control
Microprogram Control
Anuj Modi
 
SHIFT REGISTERS
SHIFT REGISTERSSHIFT REGISTERS
SHIFT REGISTERS
kumari36
 
Combinational circuits
Combinational circuits Combinational circuits
Combinational circuits
DrSonali Vyas
 
Counters & time delay
Counters & time delayCounters & time delay
Counters & time delay
Hemant Chetwani
 
flip flops
flip flops flip flops
flip flops
Unsa Shakir
 
Moore and Mealy machines
Moore and Mealy machinesMoore and Mealy machines
Moore and Mealy machines
Irfan Anjum
 
Digital electronics logic families
Digital electronics logic familiesDigital electronics logic families
Digital electronics logic families
BLESSINAR0
 
latches
 latches latches
latches
Unsa Shakir
 
D Flip Flop
D Flip Flop D Flip Flop
D Flip Flop
Pradhan Rishi Sharma
 
digital Counter
digital Counterdigital Counter
digital Counter
shamshad alam
 
Latches and flip flop
Latches and flip flopLatches and flip flop
Latches and flip flop
Shuaib Hotak
 
Synchronous Counter
Synchronous Counter Synchronous Counter
Synchronous Counter
Akhilesh Kushwaha
 
Digital Logic circuit
Digital Logic circuitDigital Logic circuit
Digital Logic circuit
kavitha muneeshwaran
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
Anil Yadav
 
Finite state machines
Finite state machinesFinite state machines
Finite state machines
dennis gookyi
 
8051 Microcontroller Notes
8051 Microcontroller Notes8051 Microcontroller Notes
8051 Microcontroller Notes
Dr.YNM
 
Semiconductor memory
Semiconductor memorySemiconductor memory
Semiconductor memory
Then Murugeshwari
 
Microcontroller presentation
Microcontroller presentationMicrocontroller presentation
Microcontroller presentation
xavierpaulino
 
Pin diagram 8085
Pin diagram 8085 Pin diagram 8085
Pin diagram 8085
Siddhesh Palkar
 

What's hot (20)

Analysis sequential circuits
Analysis sequential circuitsAnalysis sequential circuits
Analysis sequential circuits
 
Microprogram Control
Microprogram Control Microprogram Control
Microprogram Control
 
SHIFT REGISTERS
SHIFT REGISTERSSHIFT REGISTERS
SHIFT REGISTERS
 
Combinational circuits
Combinational circuits Combinational circuits
Combinational circuits
 
Counters & time delay
Counters & time delayCounters & time delay
Counters & time delay
 
flip flops
flip flops flip flops
flip flops
 
Moore and Mealy machines
Moore and Mealy machinesMoore and Mealy machines
Moore and Mealy machines
 
Digital electronics logic families
Digital electronics logic familiesDigital electronics logic families
Digital electronics logic families
 
latches
 latches latches
latches
 
D Flip Flop
D Flip Flop D Flip Flop
D Flip Flop
 
digital Counter
digital Counterdigital Counter
digital Counter
 
Latches and flip flop
Latches and flip flopLatches and flip flop
Latches and flip flop
 
Synchronous Counter
Synchronous Counter Synchronous Counter
Synchronous Counter
 
Digital Logic circuit
Digital Logic circuitDigital Logic circuit
Digital Logic circuit
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Finite state machines
Finite state machinesFinite state machines
Finite state machines
 
8051 Microcontroller Notes
8051 Microcontroller Notes8051 Microcontroller Notes
8051 Microcontroller Notes
 
Semiconductor memory
Semiconductor memorySemiconductor memory
Semiconductor memory
 
Microcontroller presentation
Microcontroller presentationMicrocontroller presentation
Microcontroller presentation
 
Pin diagram 8085
Pin diagram 8085 Pin diagram 8085
Pin diagram 8085
 

Similar to Synchronous sequential Circuits

Design System Design-ASM and Asynchronous Sequential Circuits
Design System Design-ASM and Asynchronous Sequential CircuitsDesign System Design-ASM and Asynchronous Sequential Circuits
Design System Design-ASM and Asynchronous Sequential Circuits
Indira Priyadarshini
 
Digital Electronics – Unit IV.pdf
Digital Electronics – Unit IV.pdfDigital Electronics – Unit IV.pdf
Digital Electronics – Unit IV.pdf
Kannan Kanagaraj
 
Correlative Study on the Modeling and Control of Boost Converter using Advanc...
Correlative Study on the Modeling and Control of Boost Converter using Advanc...Correlative Study on the Modeling and Control of Boost Converter using Advanc...
Correlative Study on the Modeling and Control of Boost Converter using Advanc...
IJSRD
 
Modeling and Simulation of an Active Disturbance Rejection Controller Based o...
Modeling and Simulation of an Active Disturbance Rejection Controller Based o...Modeling and Simulation of an Active Disturbance Rejection Controller Based o...
Modeling and Simulation of an Active Disturbance Rejection Controller Based o...
IJRES Journal
 
digital electronics Design of 101 sequence detector without overlapping for...
digital  electronics Design of 101 sequence detector without  overlapping for...digital  electronics Design of 101 sequence detector without  overlapping for...
digital electronics Design of 101 sequence detector without overlapping for...
sanjay kumar pediredla
 
Programmable Logic Array(PLA), digital circuits
Programmable Logic Array(PLA), digital circuits Programmable Logic Array(PLA), digital circuits
Programmable Logic Array(PLA), digital circuits
warda aziz
 
Bo044402410
Bo044402410Bo044402410
Bo044402410
IJERA Editor
 
Unit I_CDA-1 computer design and applications.
Unit I_CDA-1 computer design and applications.Unit I_CDA-1 computer design and applications.
Unit I_CDA-1 computer design and applications.
brijeshgolani77
 
493 297
493 297493 297
493 297
SanelLeric
 
Combinational logic circuit by umakant bhaskar gohatre
Combinational logic circuit by umakant bhaskar gohatreCombinational logic circuit by umakant bhaskar gohatre
Combinational logic circuit by umakant bhaskar gohatre
Smt. Indira Gandhi College of Engineering, Navi Mumbai, Mumbai
 
Detection of DC Voltage Fault in SRM Drives Using K-Means Clustering and Cla...
Detection of DC Voltage Fault in SRM Drives Using K-Means  Clustering and Cla...Detection of DC Voltage Fault in SRM Drives Using K-Means  Clustering and Cla...
Detection of DC Voltage Fault in SRM Drives Using K-Means Clustering and Cla...
IJMER
 
Ijmer 41023842
Ijmer 41023842Ijmer 41023842
Ijmer 41023842
IJMER
 
Design of Adaptive Sliding Mode Control with Fuzzy Controller and PID Tuning ...
Design of Adaptive Sliding Mode Control with Fuzzy Controller and PID Tuning ...Design of Adaptive Sliding Mode Control with Fuzzy Controller and PID Tuning ...
Design of Adaptive Sliding Mode Control with Fuzzy Controller and PID Tuning ...
IRJET Journal
 
Classification of voltage disturbance using machine learning
Classification of voltage disturbance using machine learning Classification of voltage disturbance using machine learning
Classification of voltage disturbance using machine learning
Mohan Kashyap
 
Maquina estado
Maquina estadoMaquina estado
Maquina estado
Cesar Gil Arrieta
 
Dynamic model of zeta converter with full state
Dynamic model of zeta converter with full stateDynamic model of zeta converter with full state
Dynamic model of zeta converter with full state
eSAT Publishing House
 
Dynamic model of zeta converter with full state
Dynamic model of zeta converter with full stateDynamic model of zeta converter with full state
Dynamic model of zeta converter with full state
eSAT Publishing House
 
CE150--Hongyi Huang
CE150--Hongyi HuangCE150--Hongyi Huang
CE150--Hongyi Huang
Bridget (Hongyi) Huang
 
Vlsiexpt 11 12
Vlsiexpt 11 12Vlsiexpt 11 12
Vlsiexpt 11 12
JINCY Soju
 
closing-the-hardware-design-loop-with-multisim-a-case-study
closing-the-hardware-design-loop-with-multisim-a-case-studyclosing-the-hardware-design-loop-with-multisim-a-case-study
closing-the-hardware-design-loop-with-multisim-a-case-study
Ayush Bhardwaj
 

Similar to Synchronous sequential Circuits (20)

Design System Design-ASM and Asynchronous Sequential Circuits
Design System Design-ASM and Asynchronous Sequential CircuitsDesign System Design-ASM and Asynchronous Sequential Circuits
Design System Design-ASM and Asynchronous Sequential Circuits
 
Digital Electronics – Unit IV.pdf
Digital Electronics – Unit IV.pdfDigital Electronics – Unit IV.pdf
Digital Electronics – Unit IV.pdf
 
Correlative Study on the Modeling and Control of Boost Converter using Advanc...
Correlative Study on the Modeling and Control of Boost Converter using Advanc...Correlative Study on the Modeling and Control of Boost Converter using Advanc...
Correlative Study on the Modeling and Control of Boost Converter using Advanc...
 
Modeling and Simulation of an Active Disturbance Rejection Controller Based o...
Modeling and Simulation of an Active Disturbance Rejection Controller Based o...Modeling and Simulation of an Active Disturbance Rejection Controller Based o...
Modeling and Simulation of an Active Disturbance Rejection Controller Based o...
 
digital electronics Design of 101 sequence detector without overlapping for...
digital  electronics Design of 101 sequence detector without  overlapping for...digital  electronics Design of 101 sequence detector without  overlapping for...
digital electronics Design of 101 sequence detector without overlapping for...
 
Programmable Logic Array(PLA), digital circuits
Programmable Logic Array(PLA), digital circuits Programmable Logic Array(PLA), digital circuits
Programmable Logic Array(PLA), digital circuits
 
Bo044402410
Bo044402410Bo044402410
Bo044402410
 
Unit I_CDA-1 computer design and applications.
Unit I_CDA-1 computer design and applications.Unit I_CDA-1 computer design and applications.
Unit I_CDA-1 computer design and applications.
 
493 297
493 297493 297
493 297
 
Combinational logic circuit by umakant bhaskar gohatre
Combinational logic circuit by umakant bhaskar gohatreCombinational logic circuit by umakant bhaskar gohatre
Combinational logic circuit by umakant bhaskar gohatre
 
Detection of DC Voltage Fault in SRM Drives Using K-Means Clustering and Cla...
Detection of DC Voltage Fault in SRM Drives Using K-Means  Clustering and Cla...Detection of DC Voltage Fault in SRM Drives Using K-Means  Clustering and Cla...
Detection of DC Voltage Fault in SRM Drives Using K-Means Clustering and Cla...
 
Ijmer 41023842
Ijmer 41023842Ijmer 41023842
Ijmer 41023842
 
Design of Adaptive Sliding Mode Control with Fuzzy Controller and PID Tuning ...
Design of Adaptive Sliding Mode Control with Fuzzy Controller and PID Tuning ...Design of Adaptive Sliding Mode Control with Fuzzy Controller and PID Tuning ...
Design of Adaptive Sliding Mode Control with Fuzzy Controller and PID Tuning ...
 
Classification of voltage disturbance using machine learning
Classification of voltage disturbance using machine learning Classification of voltage disturbance using machine learning
Classification of voltage disturbance using machine learning
 
Maquina estado
Maquina estadoMaquina estado
Maquina estado
 
Dynamic model of zeta converter with full state
Dynamic model of zeta converter with full stateDynamic model of zeta converter with full state
Dynamic model of zeta converter with full state
 
Dynamic model of zeta converter with full state
Dynamic model of zeta converter with full stateDynamic model of zeta converter with full state
Dynamic model of zeta converter with full state
 
CE150--Hongyi Huang
CE150--Hongyi HuangCE150--Hongyi Huang
CE150--Hongyi Huang
 
Vlsiexpt 11 12
Vlsiexpt 11 12Vlsiexpt 11 12
Vlsiexpt 11 12
 
closing-the-hardware-design-loop-with-multisim-a-case-study
closing-the-hardware-design-loop-with-multisim-a-case-studyclosing-the-hardware-design-loop-with-multisim-a-case-study
closing-the-hardware-design-loop-with-multisim-a-case-study
 

Recently uploaded

ISPM 15 Heat Treated Wood Stamps and why your shipping must have one
ISPM 15 Heat Treated Wood Stamps and why your shipping must have oneISPM 15 Heat Treated Wood Stamps and why your shipping must have one
ISPM 15 Heat Treated Wood Stamps and why your shipping must have one
Las Vegas Warehouse
 
Introduction to AI Safety (public presentation).pptx
Introduction to AI Safety (public presentation).pptxIntroduction to AI Safety (public presentation).pptx
Introduction to AI Safety (public presentation).pptx
MiscAnnoy1
 
Literature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptxLiterature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptx
Dr Ramhari Poudyal
 
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
shadow0702a
 
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
Yasser Mahgoub
 
Casting-Defect-inSlab continuous casting.pdf
Casting-Defect-inSlab continuous casting.pdfCasting-Defect-inSlab continuous casting.pdf
Casting-Defect-inSlab continuous casting.pdf
zubairahmad848137
 
ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024
Rahul
 
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressionsKuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
Victor Morales
 
Manufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptxManufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptx
Madan Karki
 
22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt
KrishnaveniKrishnara1
 
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
insn4465
 
Generative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of contentGenerative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of content
Hitesh Mohapatra
 
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming PipelinesHarnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Christina Lin
 
Textile Chemical Processing and Dyeing.pdf
Textile Chemical Processing and Dyeing.pdfTextile Chemical Processing and Dyeing.pdf
Textile Chemical Processing and Dyeing.pdf
NazakatAliKhoso2
 
gray level transformation unit 3(image processing))
gray level transformation unit 3(image processing))gray level transformation unit 3(image processing))
gray level transformation unit 3(image processing))
shivani5543
 
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODELDEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
gerogepatton
 
Transformers design and coooling methods
Transformers design and coooling methodsTransformers design and coooling methods
Transformers design and coooling methods
Roger Rozario
 
Curve Fitting in Numerical Methods Regression
Curve Fitting in Numerical Methods RegressionCurve Fitting in Numerical Methods Regression
Curve Fitting in Numerical Methods Regression
Nada Hikmah
 
Properties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptxProperties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptx
MDSABBIROJJAMANPAYEL
 
Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...
IJECEIAES
 

Recently uploaded (20)

ISPM 15 Heat Treated Wood Stamps and why your shipping must have one
ISPM 15 Heat Treated Wood Stamps and why your shipping must have oneISPM 15 Heat Treated Wood Stamps and why your shipping must have one
ISPM 15 Heat Treated Wood Stamps and why your shipping must have one
 
Introduction to AI Safety (public presentation).pptx
Introduction to AI Safety (public presentation).pptxIntroduction to AI Safety (public presentation).pptx
Introduction to AI Safety (public presentation).pptx
 
Literature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptxLiterature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptx
 
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
 
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
 
Casting-Defect-inSlab continuous casting.pdf
Casting-Defect-inSlab continuous casting.pdfCasting-Defect-inSlab continuous casting.pdf
Casting-Defect-inSlab continuous casting.pdf
 
ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024
 
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressionsKuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
 
Manufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptxManufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptx
 
22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt
 
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
 
Generative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of contentGenerative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of content
 
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming PipelinesHarnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
 
Textile Chemical Processing and Dyeing.pdf
Textile Chemical Processing and Dyeing.pdfTextile Chemical Processing and Dyeing.pdf
Textile Chemical Processing and Dyeing.pdf
 
gray level transformation unit 3(image processing))
gray level transformation unit 3(image processing))gray level transformation unit 3(image processing))
gray level transformation unit 3(image processing))
 
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODELDEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
 
Transformers design and coooling methods
Transformers design and coooling methodsTransformers design and coooling methods
Transformers design and coooling methods
 
Curve Fitting in Numerical Methods Regression
Curve Fitting in Numerical Methods RegressionCurve Fitting in Numerical Methods Regression
Curve Fitting in Numerical Methods Regression
 
Properties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptxProperties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptx
 
Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...
 

Synchronous sequential Circuits

  • 1. MATRUSRI ENGINEERING COLLEGE DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING SUBJECT NAME: DIGITAL ELECTONICS FACULTY NAME: Mrs. B. Indira Priyadarshini MATRUSRI ENGINEERING COLLEGE
  • 2. INTRODUCTION: GIVES A DETAILED PRESENTATION OF SYNCHRONOUS SEQUENTIAL CIRCUITS (FINITE STATE MACHINES). IT EXPLAINS THE BEHAVIOR OF THESE CIRCUITS AND DEVELOPS PRACTICAL DESIGN TECHNIQUES FOR BOTH MANUAL AND AUTOMATED DESIGN. DEALS WITH A GENERAL CLASS OF CIRCUITS IN WHICH THE OUTPUTS DEPEND ON THE PAST BEHAVIOR OF THE CIRCUIT, AS WELL AS ON THE PRESENT VALUES OF INPUTS. THEY ARE CALLED SEQUENTIAL CIRCUITS. IN MOST CASES A CLOCK SIGNAL IS USED TO CONTROL THE OPERATION OF A SEQUENTIAL CIRCUIT; SUCH A CIRCUIT IS CALLED A SYNCHRONOUS SEQUENTIAL CIRCUIT. UNIT-V OUTCOMES: After successful completion of this Unit students should be able to Analyze, design and implement sequential logic circuits in terms of state machines. Solve ASM for simple application MATRUSRI ENGINEERING COLLEGE
  • 3. CONTENTS: BASIC DESIGN STEPS FSM REPRESENTATION USING MOORE STATE MODELS FSM REPRESENTATION USING MEALY STATE MODELS OUTCOMES: Students will be able to design Mealy and Moore FSM models for completely and incompletely specified circuits. MODULE-I: Synchronous Sequential Circuits MATRUSRI ENGINEERING COLLEGE
  • 4. Synchronous Sequential Circuits MATRUSRI ENGINEERING COLLEGE A circuit whose output(s) depend on past behaviour, and present inputs •Clock is used => synchronous sequential circuits •No clock => asynchronous sequence circuits Also called Finite state machine (FSM) State elements in synchronous sequential circuits are edge triggered •To ensure state changes only once in a single cycle.
  • 5. Synchronous Sequential Circuits MATRUSRI ENGINEERING COLLEGE Synchronous sequential circuits are of two types: •Moore output depends only n state •Mealy: output depends on state and inputs
  • 6. Basic Design Steps MATRUSRI ENGINEERING COLLEGE The procedure for designing synchronous sequential circuits can be summarized by a list of recommended steps. 1. From the word description and specifications of the desired operation, derive a state diagram for the circuit. 2. Reduce the number of states if necessary. 3. Assign binary values to the states. 4. Obtain the binary-coded state table. 5. Choose the type of flip-flops to be used. 6. Derive the simplified flip-flop input equations and output equations. 7. Draw the logic diagram
  • 7. FSM representation using Moore state models MATRUSRI ENGINEERING COLLEGE Suppose that we want a circuit with the following characteristics: • One input w, and one output z • Positive-edge-triggered design • z = 1, if w = 1 during two consecutive clock cycles Notes: using only input, we can not find an expression for output •Hence need a state information – FSM
  • 8. Develop State Diagram MATRUSRI ENGINEERING COLLEGE The conceptually simplest method is to use a pictorial representation in the form of a state diagram. Optional to develop One form to represent a FSM: • How many states: States are circles • Transitions between states: Transitions are directed edges • Starting state: i.e. after reset/clear Note in figure, reset is not treated as input: To simplify figure.
  • 9. Develop State Table MATRUSRI ENGINEERING COLLEGE Another way to describe a FSM When implemented in a logic circuit, each state is represented by a particular valuation (combination of values) of state variables. It contains information on: • States of the machine • Transitions from all states, for all possible inputs • Output values • Reset information ignored: State A is assumed to be “start” state
  • 10. Develop State Assignment MATRUSRI ENGINEERING COLLEGE Find number of flip/flops needed to represent state •No. of FFs = log2(no. of states) Assign each state a combination of values of state variables • “State assigned table” • All unused variable combination are normally used as don’t cares Below is the resulting table after state assignment Notice that: •Output depends on current state only - Moore type •2 state variables are sufficient to represent 3 states •Y1 & Y2 are next-state variables, y1&y2 are present-state variables Need to decide type of FF to use as state element Use D-FF since it is easiest D1 = Y1, and D2 = Y2 For every next state and output, derive their function from present state and input
  • 11. Develop State Assignment MATRUSRI ENGINEERING COLLEGE Y1 = w.y1y2 Y2 = w(y1+y2 ) z = y2 •State assignments has direct relation to the cost of derived implementation Some state assignments are better than others •Using the new state assignment a more cost effective realization in possible Y1 = w, cheaper Y2 = wy1, cheaper z = y2 , same cost Present state y2 y1 Next state Output Z w = 0 w = 1 Y2 Y1 Y2 Y1 A B C D 00 01 10 11 00 01 00 10 00 10 d d 0 0 1 d Present state y2 y1 Next state Output Z w = 0 w = 1 Y2 Y1 Y2 Y1 A B C D 00 01 11 10 00 01 00 10 00 10 d d 0 0 1 d
  • 14. Timing Diagram of Realization MATRUSRI ENGINEERING COLLEGE
  • 15. FSM representation using Mealy state models MATRUSRI ENGINEERING COLLEGE Output values are generated using state & present inputs State diagram State Table State Assigned Table Logic Diagram Y = D = w z = wy
  • 16. Timing Diagram of Mealy Machine MATRUSRI ENGINEERING COLLEGE Mealy implementation is more cost effective than Moore implementation •However, circuit can be modified so that it behaves like a Moore machine Note how output change based on state and input
  • 17. 1. Moore machine produces an output over the change of transition states. 2. In mealy machine, the O/P depends upon present states and inputs. 3. The relationship that exists among the inputs, outputs, present states and next states can be specified by either the state table or the state diagram. 4. A state-transition table is a table showing what state a finite-state machine will move to, based on the current state and other inputs. Questions & Answers MATRUSRI ENGINEERING COLLEGE
  • 18. CONTENTS: STATE MINIMIZATION PARTITIONING MINIMIZATION PROCEDURE OUTCOMES: Students will be able to design a more complex FSM with fewer flips-flops. MODULE-II: State Minimization MATRUSRI ENGINEERING COLLEGE
  • 19. State Minimization MATRUSRI ENGINEERING COLLEGE Two states Si and Sj are said to be equivalent if and only if for every possible input sequence, the same output sequence will be produced regardless of whether Si or Sj is the initial state. Lower no. of states => lower no. of FFs Solved using “partitioning minimization procedure” Partition: A set of states A partition consists of one or more blocks, where each block comprises a subset of states that may be equivalent, but the states in a given block are definitely not equivalent to the states in other blocks. States in a partition may be equivalent. Not equivalent to states in other partitions
  • 20. State Minimization MATRUSRI ENGINEERING COLLEGE •P1 = (ABCDEFG) Partition based on output z •P2 = (ABD)(CEFG), Partition based on 0- & 1-successor for block (ABD) & (CEFG) •P3 = (ABD)(CEG)(F), Partition based on 0- & 1-successor for block (ABD) & (CEG), •P4 = (AD)(B)(CEG)(F) Partition based on 0- & 1-successor for block (AD) & (CEG), => Final •Final Partitions: P5 = (AD)(B)(CEG)(F) 2 FFs are sufficient after state minimization instead of 3
  • 21. Incompletely Specified FSMs MATRUSRI ENGINEERING COLLEGE The partitioning scheme for minimization of states works well when all entries in the state table are specified. FSMs of this type are said to be completely specified. If one or more entries in the state table are not specified, corresponding to don’t-care conditions, then the FSM is said to be incompletely specified. Affects the number of minimized states Assume x’s are zeros: P1 = (ABCDEFG) P2 = (ABDG)(CEF), P3 = (AB)(D)(G)(CE)(F), P4 = (A)(B)(D)(G)(CE)(F), P5 = P4 => 6 states Assume x’s are ones: P1 = (ABCDEFG) P2 = (AD)(BCEFG), P3 = (AD)(B)(CEFG), P4 = (AD)(B)(CEG)(F), P5 = P4 => 4 states
  • 22. 1. State Minimizing reduces the number of flips-flops used in the FSM. 2. State Minimizing reduces the complexity of the combinational circuit needed in the FSM. 3. By state minimization, two different FSMs may exhibit identical behavior in terms of the outputs produced in response to all possible inputs. 4. If one or more entries in the state table are not specified, corresponding to don’t-care conditions, then the FSM is said to be incompletely specified. Questions & Answers MATRUSRI ENGINEERING COLLEGE
  • 23. CONTENTS: ASM CHART ASM BLOCK SIMPLIFICATIONS AND TIMING CONSIDERATIONS WITH DESIGN EXAMPLE. OUTCOMES: Students will be able to design algorithmic state machines. MODULE-V: ALGORITHMIC STATE MACHINES (ASMs) MATRUSRI ENGINEERING COLLEGE
  • 24. ASM MATRUSRI ENGINEERING COLLEGE The design of the logic of a digital system can be divided into two distinct efforts. One part is concerned with designing the digital circuits that perform the data-processing operations. The other part is concerned with designing the control circuits that determine the sequence in which the various manipulations of data are performed.
  • 25. ASM Chart MATRUSRI ENGINEERING COLLEGE ASM chart resembles a conventional flowchart describes the sequence of events, i.e., the ordering of events in time, as well as the timing relationship between the states of sequence controller and the events that occur while going from one sate to the next. An ASM chart is composed of three basic elements: State box: Conditional box: Decision box:
  • 27. ASM Block MATRUSRI ENGINEERING COLLEGE An ASM block is a structure consisting of one state box and all the decision and conditional boxes connected to its exit path. An ASM block has one entrance and any number of exit paths represented by the structure of the decision boxes. An ASM chart consists of one or more interconnected blocks. Example:
  • 28. Simplifications MATRUSRI ENGINEERING COLLEGE State diagram equivalent to the ASM chart: Decision box can be simplified by labelling only the edge corresponding to the asserted decision variable and leaving the other edge without a label. A further it omits the edges corresponding to the state transitions that occur when a reset condition is asserted. •Output signals that are not asserted are not shown on the chart. •Presence of the name of an output signal indicates that it is asserted.
  • 29. Timing Considerations MATRUSRI ENGINEERING COLLEGE Transition between states: The timing for all registers and flip-flops in a digital system is controlled by a master- clock generator. The clock pulses are applied not only to the registers of the datapath, but also to all the flip-flops in the state machine implementing the control unit.
  • 30. 1. While converting a FSM state diagram to an ASM chart, every FSM state will map into an ASM Block. 2. What are the three basic elements in an ASM chart? Ans: State Box, Decision Box, Conditional box 3. Difference in conventional flowchart and ASM chart is time relationship. 4. State box without decision and conditional box is simple block. 5. In ASM design flip-flops are considered to be positive edge triggered. Questions & Answers MATRUSRI ENGINEERING COLLEGE
  • 31. CONTENTS: A SIMPLE ARBITER OUTCOMES: Student will able to design and implement a FSM for serial adder MODULE-VI: Additional Topic MATRUSRI ENGINEERING COLLEGE
  • 32. A Simple Arbiter MATRUSRI ENGINEERING COLLEGE When various devices need to use the resource, they have to request to do so. These requests are handled by an arbiter circuit. Arbitration structure Handshake signaling Communication between two entities in the asynchronous environment, known as handshake signaling.
  • 33. A Simple Arbiter MATRUSRI ENGINEERING COLLEGE State diagram ASM Chart
  • 34. A Simple Arbiter MATRUSRI ENGINEERING COLLEGE Modified State diagram Flow Table Excitation Table Y1 = r2 r1 + r1 y2 Y2 = r2 r1 + r2 y2 g1 = y1 g2 = y2
  • 35. A Simple Arbiter MATRUSRI ENGINEERING COLLEGE An alternative for avoiding a critical race Flow Table Excitation Table Y1 = r1 y2 Y2 = r1 r2 y1 + r2 y2 g1 = y1 g2 = y2
  • 36. A Simple Arbiter MATRUSRI ENGINEERING COLLEGE Mealy model for the arbiter FSM State diagram: Flow Table: Excitation Table: Y = r2 r1 + r1 y + r2 y g1 = r1 y g2 = r2 y
  • 37. 1. When various devices need to use the resource, then requests are handled by an arbiter circuit. 2. Each device communicates with the arbiter by means of two signals—Request and Grant. 3. Communication between two entities in the asynchronous environment, known as handshake signaling. 4. The time elapsed between the changes in the cause-effect signals depends on the specific implementation of the circuit. Questions & Answers MATRUSRI ENGINEERING COLLEGE
  • 38. Question Bank MATRUSRI ENGINEERING COLLEGE Short Answer Question S.No Question Blooms Taxonomy Level Course Outcome 1 Define ASM Block and explain with example. L2 CO4 2 Draw ASM chart for the arbiter FSM. L1 CO4 3 Explain transition and flow table in asynchronous sequential circuit. L2 CO4 4 List out the elements of ASM chart and their operation. L1 CO4 5 Draw ASM chart for vending machine. L1 CO4 6 Explain Hazards in combinational circuits with examples. L2 CO4 7 Differentiate between state table and flow table. L3 CO4 8 Draw ASM chart for given FSM model shown below. L1 CO4 9 Differentiate between ASM and ASMD chart. L3 CO4 10 Explain simplifications and timing considerations. L2 CO4 PS Input X 0 1 A B C D E B/0 E/0 A/1 C/1 B/0 C/1 C/0 E/0 D/1 A/0
  • 39. Question Bank MATRUSRI ENGINEERING COLLEGE Long Answer Question S.No Question Blooms Taxonomy Level Course Outcome 1 Design vending machine controller and implement its verilog code. L5 CO4 2 Analyze given asynchronous sequential circuit and obtain its state table and timing diagram. L5 CO4 3 Explain controller design with one hot design. L2 CO4 4 With neat ASM chart and Verilog code, explain Binary multiplier. L2 CO4 5 Describe steps involved in an analysis procedure of asynchronous sequential circuits. L5 CO4
  • 40. Question Bank MATRUSRI ENGINEERING COLLEGE Long Answer Question S.No Question Blooms Taxonomy Level Course Outcome 6 Derive a flow table that describes the behaviour of the as shown L3 CO4 7 Analyze the given asynchronous sequential circuit. L5 CO4
  • 41. Assignment Questions MATRUSRI ENGINEERING COLLEGE 1. Analyze given asynchronous sequential circuit and obtain its state table and timing diagram. 2. With the help of block diagram, explain fundamental mode asynchronous sequential machine. 3. Explain one hot state controller design. 4. Explain Binary multiplier with neat ASMD chart and write a verilog code. 5. Design vending machine controller. Draw its ASM chart and implement its verilog code.