SlideShare a Scribd company logo
1 of 16
Clock Generation & Distribution Strategies Presented by AbhishekTiwari (100942010)
Clock Signals are the  heartbeats of the Digital  Systems.
Introduction Ideally Minimum Rise time & Fall time Specified Duty Cycles Zero Skew In Practical Considerable Rise time and Fall Time Duty Cycle can also very Non Zero Skew Jitter
Clock skew is caused by static path-length mismatches. Skew is constant from cycle to cycle. Clock skew does not result in clock period variation. Skew
Clock period can reduce or expand on a cycle-by-cycle basis. It is strictly a temporal uncertainty measure and is often specified at a given point on the chip. Jitter directly impacts the performance of a sequential system. Jitter
Ring Oscillator Clock Generated by this ckt is not Stable Generation of Simple Clock
For high performance clk we required separate clock chip which use crystal Oscillator. Pierce Crystal Oscillator
VLSI chip receives one or more primary clock signals. Many time we require two non-overlapping signals. Generation Of Non-Overlapping Signal
H-tree network Distributed with uniform Delay. Distance of each branch is same. Multiple clock cycles to propagate. Useful for regular-array network. Difficult to Implement Used when symmetric    blocks are there
Route main signals to each macro block. Use clock decoders to carefully balance the delays. Clock decoder will generate different phase signals which are required for different macro block. Clock Decoder
If we want to handle large fanout loads then clock signal must be buffered. There may be possiblity that we get phase errors and different clock skew at each o/p. Buffer
Here we are cross connecting all buffer lines. Clock skew and phase problem is eliminated. It is important that every buffer stage drives the same number of fanout gates. Buffer and Cross Connections
Load Capacitance should be decreased. Ch. Imp. of clock distribution line should be decreased. Inductive N/W can be used to cancel effect of parasitic cap of clock receiver. There must be separation between two high speed clock lines to prevent cross talk. Important Points
Practical Examples
Thank you

More Related Content

What's hot

14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossingUsha Mehta
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehtaUsha Mehta
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Synchronous and asynchronous clock
Synchronous and asynchronous clockSynchronous and asynchronous clock
Synchronous and asynchronous clockNallapati Anindra
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI DesignTeam-VLSI-ITMU
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
Interconnect timing model
Interconnect  timing modelInterconnect  timing model
Interconnect timing modelPrachi Pandey
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfUsha Mehta
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioningSushil Kundu
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applicationsSudhanshu Janwadkar
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsM Mei
 

What's hot (20)

14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Synchronous and asynchronous clock
Synchronous and asynchronous clockSynchronous and asynchronous clock
Synchronous and asynchronous clock
 
The IEEE 1149.1 Boundary-scan test standard
The IEEE 1149.1 Boundary-scan test standardThe IEEE 1149.1 Boundary-scan test standard
The IEEE 1149.1 Boundary-scan test standard
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
Physical design
Physical design Physical design
Physical design
 
Interconnect timing model
Interconnect  timing modelInterconnect  timing model
Interconnect timing model
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdf
 
Physical design
Physical design Physical design
Physical design
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 
Clock Gating
Clock GatingClock Gating
Clock Gating
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applications
 
Actel fpga
Actel fpgaActel fpga
Actel fpga
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 

Similar to Clock Distribution

Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Prashantkumar R
 
TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGN
TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGNTIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGN
TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGNshrutishreya14
 
Clock Generator/Jitter Cleaner with Integrated VCOs
Clock Generator/Jitter Cleaner with Integrated VCOsClock Generator/Jitter Cleaner with Integrated VCOs
Clock Generator/Jitter Cleaner with Integrated VCOsPremier Farnell
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
Low power electronic design
Low power electronic designLow power electronic design
Low power electronic designMahesh Dananjaya
 
Library Characterization Flow
Library Characterization FlowLibrary Characterization Flow
Library Characterization FlowSatish Grandhi
 
Synchronization and timing loop presentation -mapyourtech
Synchronization and timing loop presentation -mapyourtechSynchronization and timing loop presentation -mapyourtech
Synchronization and timing loop presentation -mapyourtechMapYourTech
 
DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATOR
DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATORDESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATOR
DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATORVLSICS Design
 
Accurate Synchronization of EtherCAT Systems Using Distributed Clocks
Accurate Synchronization of EtherCAT Systems Using Distributed ClocksAccurate Synchronization of EtherCAT Systems Using Distributed Clocks
Accurate Synchronization of EtherCAT Systems Using Distributed ClocksDesign World
 
CRO and functional generator physics
CRO and functional generator physicsCRO and functional generator physics
CRO and functional generator physicsAbdul Wahab Raza
 

Similar to Clock Distribution (20)

Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...
 
TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGN
TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGNTIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGN
TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGN
 
Clock Generator/Jitter Cleaner with Integrated VCOs
Clock Generator/Jitter Cleaner with Integrated VCOsClock Generator/Jitter Cleaner with Integrated VCOs
Clock Generator/Jitter Cleaner with Integrated VCOs
 
Intro
IntroIntro
Intro
 
Pdpm,mayank awasthi,jabalpur,i it kanpur, servo motor,keil code
Pdpm,mayank awasthi,jabalpur,i it kanpur, servo motor,keil codePdpm,mayank awasthi,jabalpur,i it kanpur, servo motor,keil code
Pdpm,mayank awasthi,jabalpur,i it kanpur, servo motor,keil code
 
VLSI Power in a Nutshell
VLSI Power in a NutshellVLSI Power in a Nutshell
VLSI Power in a Nutshell
 
Low Power VLSI Designs
Low Power VLSI DesignsLow Power VLSI Designs
Low Power VLSI Designs
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Low power electronic design
Low power electronic designLow power electronic design
Low power electronic design
 
Oscilloscope tutorial
Oscilloscope tutorialOscilloscope tutorial
Oscilloscope tutorial
 
Burst clock controller
Burst clock controllerBurst clock controller
Burst clock controller
 
Library Characterization Flow
Library Characterization FlowLibrary Characterization Flow
Library Characterization Flow
 
Synchronization and timing loop presentation -mapyourtech
Synchronization and timing loop presentation -mapyourtechSynchronization and timing loop presentation -mapyourtech
Synchronization and timing loop presentation -mapyourtech
 
DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATOR
DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATORDESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATOR
DESIGN AND PERFORMANCE ANALYSIS OF NINE STAGES CMOS BASED RING OSCILLATOR
 
Oscillators module-01
Oscillators module-01Oscillators module-01
Oscillators module-01
 
Accurate Synchronization of EtherCAT Systems Using Distributed Clocks
Accurate Synchronization of EtherCAT Systems Using Distributed ClocksAccurate Synchronization of EtherCAT Systems Using Distributed Clocks
Accurate Synchronization of EtherCAT Systems Using Distributed Clocks
 
Ijeet 07 05_001
Ijeet 07 05_001Ijeet 07 05_001
Ijeet 07 05_001
 
CRO and functional generator physics
CRO and functional generator physicsCRO and functional generator physics
CRO and functional generator physics
 
final report updated
final report updatedfinal report updated
final report updated
 
Major project iii 3
Major project  iii  3Major project  iii  3
Major project iii 3
 

Clock Distribution

  • 1. Clock Generation & Distribution Strategies Presented by AbhishekTiwari (100942010)
  • 2. Clock Signals are the heartbeats of the Digital Systems.
  • 3. Introduction Ideally Minimum Rise time & Fall time Specified Duty Cycles Zero Skew In Practical Considerable Rise time and Fall Time Duty Cycle can also very Non Zero Skew Jitter
  • 4. Clock skew is caused by static path-length mismatches. Skew is constant from cycle to cycle. Clock skew does not result in clock period variation. Skew
  • 5. Clock period can reduce or expand on a cycle-by-cycle basis. It is strictly a temporal uncertainty measure and is often specified at a given point on the chip. Jitter directly impacts the performance of a sequential system. Jitter
  • 6. Ring Oscillator Clock Generated by this ckt is not Stable Generation of Simple Clock
  • 7. For high performance clk we required separate clock chip which use crystal Oscillator. Pierce Crystal Oscillator
  • 8. VLSI chip receives one or more primary clock signals. Many time we require two non-overlapping signals. Generation Of Non-Overlapping Signal
  • 9. H-tree network Distributed with uniform Delay. Distance of each branch is same. Multiple clock cycles to propagate. Useful for regular-array network. Difficult to Implement Used when symmetric blocks are there
  • 10. Route main signals to each macro block. Use clock decoders to carefully balance the delays. Clock decoder will generate different phase signals which are required for different macro block. Clock Decoder
  • 11. If we want to handle large fanout loads then clock signal must be buffered. There may be possiblity that we get phase errors and different clock skew at each o/p. Buffer
  • 12. Here we are cross connecting all buffer lines. Clock skew and phase problem is eliminated. It is important that every buffer stage drives the same number of fanout gates. Buffer and Cross Connections
  • 13. Load Capacitance should be decreased. Ch. Imp. of clock distribution line should be decreased. Inductive N/W can be used to cancel effect of parasitic cap of clock receiver. There must be separation between two high speed clock lines to prevent cross talk. Important Points
  • 15.