SlideShare a Scribd company logo
1
VLSI Physical Design Automation
Prof. David Pan
dpan@ece.utexas.edu
Office: ACES 5.434
Clock and Power Routing
2
Routing of Clock and Power Nets
• Different from other signal nets, clock and power are
special routing problems
– For clock nets, need to consider clock skew as well as delay.
– For power nets, need to consider current density (IR drop)
• => specialized routers for these nets.
• Automatic tools for ASICs
• Often manually routed and optimized for
microprocessors, with help from automatic tools
3
Clock Introduction
• For synchronized designs, data transfer between
functional elements are synchronized by clock signals
• Clock signal are generated externally (e.g., by PLL)
• Clock period equation
dssuskewd ttttodclock peri +++≥
td: Longest path through combinational logic
tskew: Clock skew
tsu: Setup time of the synchronizing elements
tds: Propagation delay within the synchronizing element
4
Clock Skew
• Clock skew is the maximum difference in the arrival
time of a clock signal at two different components.
• Clock skew forces designers to use a large time period
between clock pulses. This makes the system slower.
• So, in addition to other objectives, clock skew should
be minimized during clock routing.
5
Clock Design Problem
• What are the main concerns for clock design?
• Skew
– No. 1 concern for clock networks
– For increased clock frequency, skew may contribute over 10% of
the system cycle time
• Power
– very important, as clock is a major power consumer!
– It switches at every clock cycle!
• Noise
– Clock is often a very strong aggressor
– May need shielding
• Delay
– Not really important
– But slew rate is important (sharp transition)
6
The Clock Routing Problem
• Given a source and n sinks.
• Connect all sinks to the source by an interconnect
network (tree or non-tree) so as to minimize:
– Clock Skew = maxi,j |ti - tj|
– Delay = maxi ti
– Total wirelength
– Noise and coupling effect
7
Clock Design Considerations
• Clock signal is global in nature, so clock nets are
usually very big
– Significant interconnect capacitance and resistance
• So what are the techniques?
– Routing
• Clock tree versus clock mesh (non-tree or grid)
• Balance skew and total wire length
– Buffer insertion // will be covered in EE382V (Optimization
issues in VLSI CAD)
• Clock buffers to reduce clock skew, delay, and distortion in waveform.
– Wire sizing // will be covered in Opt. Issues in VLSI CAD
• To further tune the clock tree/mesh
8
Clock trees
• A path from the clock source to clock sinks
Clock Source
FF FF FF FF FFFF FF FFFF FF
9
Clock trees
• A path from the clock source to clock sinks
Clock Source
FF FF FF FF FFFF FF FFFF FF
10
H-Tree Clock Routing
4 Points4 Points 16 Points16 Points
Tapping PointTapping Point
11
H-tree Algorithm
• Minimize skew by making interconnections to subunits
equal in length
– Regular pattern
– The skew is 0 assuming delay is directly proportional to
wirelength
• Is this always the case???
• Can be used when terminals are evenly distributed
– However, this is never the case in practice (due to blockage,
and so on)
– So strict (pure) H-trees are rarely used
– However, still popular for top-level clock network design
– Cons: too costly to be used everywhere
Can you think of another shape if non-rectilinear wires are allowed?
12
Method of Means and Medians (MMM)
• Applicable when the clock terminals are arbitrarily
arranged.
• Follows a strategy very similar to H-Tree.
• Recursively partition the terminals into two sets of equal
size (median). Then, connect the center of mass of the
whole circuit to the centers of mass of the two sub-circuits
(mean).
• Clock skew is only minimized heuristically. The resulting
tree may not have zero-skew.
13
An Example of MMM
centers of masscenters of mass
14
Geometric Matching Algorithm
(GMA)
• MMM is a top-down algorithm, but GMA is a bottom-up
algorithm.
• Geometric matching of n endpoints:
– Construct a set of n/2 line segments connecting n endpoints
pairwise.
– No two line segments share an endpoint.
– The cost is the sum of the edge lengths.
• The basic idea is to find a minimum cost geometric
matching recursively.
• Time complexity is O(n2.5
log n) for n endpoints.
15
An Example of GMA
Apply geometricApply geometric
matching recursively.matching recursively.
H-flippingH-flipping
Post-processing
Tapping pointTapping point
(not necessarily(not necessarily
the mid-point)the mid-point)
Can give clock tree of zero skew.Can give clock tree of zero skew.
16
An Exact Zero Skew Algorithm
• ICCAD 1991 and TCAD 1993, Ren-Song Tsay
• A classic paper to manage clock skew
• Use Elmore delay model to compute delay
• Guarantee zero skew
– Can easily to extended for zero skew or bounded skew
– Can you think of a method to do it?
• Try to minimize wire length, but not done very well
– Lots of follow up works to minimize total wire length while
maintaining zero skew
– DME and its extensions
17
Deferred Merge Embedding
• As its name implies, DME defers the merging as late
as possible, to make sure minimal wire length cost for
merging
• Independently proposed by several groups
– Edahiro, NEC Res Dev, 1991
– Chao et al, DAC’92
– Boese and Kahng, ASIC’92
• DME needs an abstract routing topology as the input
• It has a bottom-up phase followed by a top-down
process (sounds familiar?)
18
DME:
19
Some Thoughts/Trend
• Clock skew scheduling together with clock tree
synthesis
– Schedule the timing slack of a circuit to the individual
registers for optimal performance and as a second
criteria to increase the robustness of the
implementation w.r.t. process variation.
• Variability is a major nanometer concern
• Non-tree clock networks for variation-tolerance
– How to analyze it?
– The task is to investigate a combined optimization
such that clock skew variability is reduced with
minimum wirelength penalty
20
Non-tree: Spine & Mesh
Applied in Pentium processor
Spines
Clock sinks or local sub-networks
Clock sinks or local sub-networks
Clock sinks or local sub-
networks
Applied in IBM microprocessor
 Very effective, huge wire
[Restle et. al, JSSC’01]
[Su et. al, ICCAD’01]
[Kurd et. al. JSSC’01]
21
Non-tree: Link Perspective
• Non-tree = tree + links
• How to select link pairs is the key problem
• Link = link_capacitors + link_resistor
• Key issue: find the best links that can help the skew variation
reduction the most!
u
w
i
w
u
Rl
C/2 C/2
u w
Rl
C/2
C/2
[Rajaram et al, DAC’04]
22
Power Distribution/Routing
23
Power Distribution
• Power Distribution Network functions
– Carry current from pads to transistors on chip
– Maintain stable voltage with low noise
– Provide average and peak power demands
– Provide current return paths for signals
– Avoid electromigration & self-heating wearout
– Consume little chip area and wire
– Easy to lay out
24
Power and Ground Routing
• Each standard cell or macro has power and ground
signals, i.e., Vdd (power) and GND (ground)
• They need to be connected as well
• You can imagine that they are HUGE NETWORKS!
• In general, P/G routings are pretty regular
• They have high priority as well
– P/G routing resources are usually reserved
– When you do global and detailed routing for signal nets, you
cannot use up all the routing resources at each metal layers
• Normally some design rules will be given (e.g., 40% of top metal
layers are reserved for P/G)
25
P/G Routing Main Objectives
• Routing resource
– Need to balance the routing resource for P/G, clock and signals
• Voltage drop
– Static (IR) and dynamic (L di/dt) voltage drops
– More voltage drop means more gate delay
– Usually less than 5-10% voltage drop is allowed
– So you may need to size P/G wires accordingly
• Electrical migration
– Too big current may cause EMI problem
• Others…
26
P/G Mesh (Grid Distribution)
• Power/Ground mesh will allow multiple paths from P/G
sources to destinations
– Less series resistance
– Hierarchical power and ground meshes from upper metal
layers to lower metal layers
• All the way to M1 or M2 (stand cells)
– Connection of lower layer layout/cells to the grid is through
vias
27
Using One Metal Layer
VDDVDD GNDGND
One tree for VDD and another tree for GND.
28
Using Two Metal Layers
One 2D-grid for VDD and another one for GND:
VDDVDD GNDGND
M5M5 M4M4
29
Gate Array & Standard Cell Design
Inter-weaved combs:
VDDVDD GNDGND
30
Some Thoughts/Trends
• P/G I/O pad co-optimization with classic
physical design
• Decoupling capacitor can reduce P/G related
voltage drop
– Need to be planned together with floorplanning and
placement
• Multiple voltage/frequency islands make the
P/G problem and clock distributions more
challenging

More Related Content

What's hot

Placement
PlacementPlacement
Placement
yogesh kumar
 
GUI for DRV fix in ICC2
GUI for DRV fix in ICC2GUI for DRV fix in ICC2
GUI for DRV fix in ICC2
Prashanth Chokkarapu
 
STA.pdf
STA.pdfSTA.pdf
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
Prathyusha Madapalli
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Mostafa Khamis
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
VishalYadav29718
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
M Mei
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
Jayant Suthar
 
Physical design
Physical design Physical design
Physical design
Mantra VLSI
 
Placement.pdf
Placement.pdfPlacement.pdf
Placement.pdf
Ahmed Abdelazeem
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical designI World Tech
 
09 placement
09 placement09 placement
09 placement
yogiramesh89
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI DesignTeam-VLSI-ITMU
 
Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
Ahmed Abdelazeem
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
eInfochips (An Arrow Company)
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
Ahmed Abdelazeem
 
Routing.pdf
Routing.pdfRouting.pdf
Routing.pdf
Ahmed Abdelazeem
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
Kishore Sai Addanki
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical designsasikun
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
shaik sharief
 

What's hot (20)

Placement
PlacementPlacement
Placement
 
GUI for DRV fix in ICC2
GUI for DRV fix in ICC2GUI for DRV fix in ICC2
GUI for DRV fix in ICC2
 
STA.pdf
STA.pdfSTA.pdf
STA.pdf
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
 
Physical design
Physical design Physical design
Physical design
 
Placement.pdf
Placement.pdfPlacement.pdf
Placement.pdf
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
09 placement
09 placement09 placement
09 placement
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
 
Routing.pdf
Routing.pdfRouting.pdf
Routing.pdf
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical design
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 

Similar to Lecture24 clockpower routing

ASIC Design Fundamentals.pptx
ASIC Design Fundamentals.pptxASIC Design Fundamentals.pptx
ASIC Design Fundamentals.pptx
RameshK531901
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
CHENCHU CHANDU PRASANTH NADELLA
 
SOC Chip Basics
SOC Chip BasicsSOC Chip Basics
SOC Chip Basics
A B Shinde
 
HDT TOOLS PRESENTATION (2000)
HDT TOOLS PRESENTATION (2000)HDT TOOLS PRESENTATION (2000)
HDT TOOLS PRESENTATION (2000)
Piero Belforte
 
Lecture20 asic back_end_design
Lecture20 asic back_end_designLecture20 asic back_end_design
Lecture20 asic back_end_design
Hung Nguyen
 
5378086.ppt
5378086.ppt5378086.ppt
5378086.ppt
kavita417551
 
SYSTOLIC ARCH IN COMPUTER OPERATING SYSTEM.pptx
SYSTOLIC ARCH IN COMPUTER OPERATING SYSTEM.pptxSYSTOLIC ARCH IN COMPUTER OPERATING SYSTEM.pptx
SYSTOLIC ARCH IN COMPUTER OPERATING SYSTEM.pptx
SaiDhanushM
 
Physical organization of parallel platforms
Physical organization of parallel platformsPhysical organization of parallel platforms
Physical organization of parallel platforms
Syed Zaid Irshad
 
Te442 lecture02-2016-14-4-2016-1
Te442 lecture02-2016-14-4-2016-1Te442 lecture02-2016-14-4-2016-1
Te442 lecture02-2016-14-4-2016-1
colman mboya
 
Digital Electronics-ppt.pptx
Digital Electronics-ppt.pptxDigital Electronics-ppt.pptx
Digital Electronics-ppt.pptx
GopinathD17
 
Floor planning ppt
Floor planning pptFloor planning ppt
Floor planning ppt
Thrinadh Komatipalli
 
Digital signal transmission in ofc
Digital signal transmission in ofcDigital signal transmission in ofc
Digital signal transmission in ofc
Ankith Shetty
 
IP based communications over satellites
IP based communications over satellitesIP based communications over satellites
IP based communications over satellites
Bektaş Şahin
 
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01Hemant Jha
 
tutorial-Deterministic-Ethernet-stp.ppt
tutorial-Deterministic-Ethernet-stp.ppttutorial-Deterministic-Ethernet-stp.ppt
tutorial-Deterministic-Ethernet-stp.ppt
ssuser3855be
 
Routing.ppt
Routing.pptRouting.ppt
Routing.ppt
Sunesh N.V
 
rcwire.ppt
rcwire.pptrcwire.ppt
rcwire.ppt
SomnathPal64
 
24-ad-hoc.ppt
24-ad-hoc.ppt24-ad-hoc.ppt
24-ad-hoc.ppt
sumadi26
 

Similar to Lecture24 clockpower routing (20)

ASIC Design Fundamentals.pptx
ASIC Design Fundamentals.pptxASIC Design Fundamentals.pptx
ASIC Design Fundamentals.pptx
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
 
SOC Chip Basics
SOC Chip BasicsSOC Chip Basics
SOC Chip Basics
 
HDT TOOLS PRESENTATION (2000)
HDT TOOLS PRESENTATION (2000)HDT TOOLS PRESENTATION (2000)
HDT TOOLS PRESENTATION (2000)
 
Lecture20 asic back_end_design
Lecture20 asic back_end_designLecture20 asic back_end_design
Lecture20 asic back_end_design
 
5378086.ppt
5378086.ppt5378086.ppt
5378086.ppt
 
SYSTOLIC ARCH IN COMPUTER OPERATING SYSTEM.pptx
SYSTOLIC ARCH IN COMPUTER OPERATING SYSTEM.pptxSYSTOLIC ARCH IN COMPUTER OPERATING SYSTEM.pptx
SYSTOLIC ARCH IN COMPUTER OPERATING SYSTEM.pptx
 
Physical organization of parallel platforms
Physical organization of parallel platformsPhysical organization of parallel platforms
Physical organization of parallel platforms
 
Te442 lecture02-2016-14-4-2016-1
Te442 lecture02-2016-14-4-2016-1Te442 lecture02-2016-14-4-2016-1
Te442 lecture02-2016-14-4-2016-1
 
Digital Electronics-ppt.pptx
Digital Electronics-ppt.pptxDigital Electronics-ppt.pptx
Digital Electronics-ppt.pptx
 
Parallel Algorithms
Parallel AlgorithmsParallel Algorithms
Parallel Algorithms
 
8891.ppt
8891.ppt8891.ppt
8891.ppt
 
Floor planning ppt
Floor planning pptFloor planning ppt
Floor planning ppt
 
Digital signal transmission in ofc
Digital signal transmission in ofcDigital signal transmission in ofc
Digital signal transmission in ofc
 
IP based communications over satellites
IP based communications over satellitesIP based communications over satellites
IP based communications over satellites
 
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01
Vlsiphysicaldesignautomationonpartitioning 120219012744-phpapp01
 
tutorial-Deterministic-Ethernet-stp.ppt
tutorial-Deterministic-Ethernet-stp.ppttutorial-Deterministic-Ethernet-stp.ppt
tutorial-Deterministic-Ethernet-stp.ppt
 
Routing.ppt
Routing.pptRouting.ppt
Routing.ppt
 
rcwire.ppt
rcwire.pptrcwire.ppt
rcwire.ppt
 
24-ad-hoc.ppt
24-ad-hoc.ppt24-ad-hoc.ppt
24-ad-hoc.ppt
 

More from freeloadtailieu

mandibular techniques in your area are here
mandibular techniques in your area are heremandibular techniques in your area are here
mandibular techniques in your area are here
freeloadtailieu
 
1 3oak
1 3oak1 3oak
Tai liệu thuyết trình môn khai phá dữ liệu
Tai liệu thuyết trình môn khai phá dữ liệuTai liệu thuyết trình môn khai phá dữ liệu
Tai liệu thuyết trình môn khai phá dữ liệu
freeloadtailieu
 
Protein tp
Protein tpProtein tp
Protein tp
freeloadtailieu
 
Kho tiểu luận tình huống quản lý nhà nước ngạch chuyên viên, chuyên viên chín...
Kho tiểu luận tình huống quản lý nhà nước ngạch chuyên viên, chuyên viên chín...Kho tiểu luận tình huống quản lý nhà nước ngạch chuyên viên, chuyên viên chín...
Kho tiểu luận tình huống quản lý nhà nước ngạch chuyên viên, chuyên viên chín...
freeloadtailieu
 
bộ sáng kiến kinh nghiệm tiểu học cực hay
bộ sáng kiến kinh nghiệm tiểu học cực haybộ sáng kiến kinh nghiệm tiểu học cực hay
bộ sáng kiến kinh nghiệm tiểu học cực hay
freeloadtailieu
 
báo cáo thực tập quá trình thiết bị nhà máy nhuộm 7
báo cáo thực tập quá trình thiết bị nhà máy nhuộm 7báo cáo thực tập quá trình thiết bị nhà máy nhuộm 7
báo cáo thực tập quá trình thiết bị nhà máy nhuộm 7
freeloadtailieu
 
Phân tích và thiết kế FTTH trên GPON
Phân tích và thiết kế FTTH trên GPONPhân tích và thiết kế FTTH trên GPON
Phân tích và thiết kế FTTH trên GPON
freeloadtailieu
 
luu-do-thuat-toan-dieu-khien-thang-may-va-bang-quy-dinh-i-o-trong-plc
 luu-do-thuat-toan-dieu-khien-thang-may-va-bang-quy-dinh-i-o-trong-plc luu-do-thuat-toan-dieu-khien-thang-may-va-bang-quy-dinh-i-o-trong-plc
luu-do-thuat-toan-dieu-khien-thang-may-va-bang-quy-dinh-i-o-trong-plc
freeloadtailieu
 
Food assurance
Food assuranceFood assurance
Food assurance
freeloadtailieu
 

More from freeloadtailieu (11)

mandibular techniques in your area are here
mandibular techniques in your area are heremandibular techniques in your area are here
mandibular techniques in your area are here
 
1 3oak
1 3oak1 3oak
1 3oak
 
Tai liệu thuyết trình môn khai phá dữ liệu
Tai liệu thuyết trình môn khai phá dữ liệuTai liệu thuyết trình môn khai phá dữ liệu
Tai liệu thuyết trình môn khai phá dữ liệu
 
Protein tp
Protein tpProtein tp
Protein tp
 
Kho tiểu luận tình huống quản lý nhà nước ngạch chuyên viên, chuyên viên chín...
Kho tiểu luận tình huống quản lý nhà nước ngạch chuyên viên, chuyên viên chín...Kho tiểu luận tình huống quản lý nhà nước ngạch chuyên viên, chuyên viên chín...
Kho tiểu luận tình huống quản lý nhà nước ngạch chuyên viên, chuyên viên chín...
 
bộ sáng kiến kinh nghiệm tiểu học cực hay
bộ sáng kiến kinh nghiệm tiểu học cực haybộ sáng kiến kinh nghiệm tiểu học cực hay
bộ sáng kiến kinh nghiệm tiểu học cực hay
 
báo cáo thực tập quá trình thiết bị nhà máy nhuộm 7
báo cáo thực tập quá trình thiết bị nhà máy nhuộm 7báo cáo thực tập quá trình thiết bị nhà máy nhuộm 7
báo cáo thực tập quá trình thiết bị nhà máy nhuộm 7
 
Phân tích và thiết kế FTTH trên GPON
Phân tích và thiết kế FTTH trên GPONPhân tích và thiết kế FTTH trên GPON
Phân tích và thiết kế FTTH trên GPON
 
luu-do-thuat-toan-dieu-khien-thang-may-va-bang-quy-dinh-i-o-trong-plc
 luu-do-thuat-toan-dieu-khien-thang-may-va-bang-quy-dinh-i-o-trong-plc luu-do-thuat-toan-dieu-khien-thang-may-va-bang-quy-dinh-i-o-trong-plc
luu-do-thuat-toan-dieu-khien-thang-may-va-bang-quy-dinh-i-o-trong-plc
 
WinCC
WinCCWinCC
WinCC
 
Food assurance
Food assuranceFood assurance
Food assurance
 

Recently uploaded

一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
zwunae
 
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdf
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdfTutorial for 16S rRNA Gene Analysis with QIIME2.pdf
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdf
aqil azizi
 
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressionsKuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
Victor Morales
 
sieving analysis and results interpretation
sieving analysis and results interpretationsieving analysis and results interpretation
sieving analysis and results interpretation
ssuser36d3051
 
Fundamentals of Induction Motor Drives.pptx
Fundamentals of Induction Motor Drives.pptxFundamentals of Induction Motor Drives.pptx
Fundamentals of Induction Motor Drives.pptx
manasideore6
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
WENKENLI1
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
Amil Baba Dawood bangali
 
Hierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power SystemHierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power System
Kerry Sado
 
Literature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptxLiterature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptx
Dr Ramhari Poudyal
 
14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application
SyedAbiiAzazi1
 
Understanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine LearningUnderstanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine Learning
SUTEJAS
 
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
obonagu
 
Swimming pool mechanical components design.pptx
Swimming pool  mechanical components design.pptxSwimming pool  mechanical components design.pptx
Swimming pool mechanical components design.pptx
yokeleetan1
 
basic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdfbasic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdf
NidhalKahouli2
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
bakpo1
 
digital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdfdigital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdf
drwaing
 
Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
manasideore6
 
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming PipelinesHarnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Christina Lin
 
bank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdfbank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdf
Divyam548318
 
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
Mukeshwaran Balu
 

Recently uploaded (20)

一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
 
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdf
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdfTutorial for 16S rRNA Gene Analysis with QIIME2.pdf
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdf
 
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressionsKuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
 
sieving analysis and results interpretation
sieving analysis and results interpretationsieving analysis and results interpretation
sieving analysis and results interpretation
 
Fundamentals of Induction Motor Drives.pptx
Fundamentals of Induction Motor Drives.pptxFundamentals of Induction Motor Drives.pptx
Fundamentals of Induction Motor Drives.pptx
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
 
Hierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power SystemHierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power System
 
Literature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptxLiterature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptx
 
14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application
 
Understanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine LearningUnderstanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine Learning
 
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
 
Swimming pool mechanical components design.pptx
Swimming pool  mechanical components design.pptxSwimming pool  mechanical components design.pptx
Swimming pool mechanical components design.pptx
 
basic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdfbasic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdf
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
 
digital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdfdigital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdf
 
Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
 
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming PipelinesHarnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
 
bank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdfbank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdf
 
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
 

Lecture24 clockpower routing

  • 1. 1 VLSI Physical Design Automation Prof. David Pan dpan@ece.utexas.edu Office: ACES 5.434 Clock and Power Routing
  • 2. 2 Routing of Clock and Power Nets • Different from other signal nets, clock and power are special routing problems – For clock nets, need to consider clock skew as well as delay. – For power nets, need to consider current density (IR drop) • => specialized routers for these nets. • Automatic tools for ASICs • Often manually routed and optimized for microprocessors, with help from automatic tools
  • 3. 3 Clock Introduction • For synchronized designs, data transfer between functional elements are synchronized by clock signals • Clock signal are generated externally (e.g., by PLL) • Clock period equation dssuskewd ttttodclock peri +++≥ td: Longest path through combinational logic tskew: Clock skew tsu: Setup time of the synchronizing elements tds: Propagation delay within the synchronizing element
  • 4. 4 Clock Skew • Clock skew is the maximum difference in the arrival time of a clock signal at two different components. • Clock skew forces designers to use a large time period between clock pulses. This makes the system slower. • So, in addition to other objectives, clock skew should be minimized during clock routing.
  • 5. 5 Clock Design Problem • What are the main concerns for clock design? • Skew – No. 1 concern for clock networks – For increased clock frequency, skew may contribute over 10% of the system cycle time • Power – very important, as clock is a major power consumer! – It switches at every clock cycle! • Noise – Clock is often a very strong aggressor – May need shielding • Delay – Not really important – But slew rate is important (sharp transition)
  • 6. 6 The Clock Routing Problem • Given a source and n sinks. • Connect all sinks to the source by an interconnect network (tree or non-tree) so as to minimize: – Clock Skew = maxi,j |ti - tj| – Delay = maxi ti – Total wirelength – Noise and coupling effect
  • 7. 7 Clock Design Considerations • Clock signal is global in nature, so clock nets are usually very big – Significant interconnect capacitance and resistance • So what are the techniques? – Routing • Clock tree versus clock mesh (non-tree or grid) • Balance skew and total wire length – Buffer insertion // will be covered in EE382V (Optimization issues in VLSI CAD) • Clock buffers to reduce clock skew, delay, and distortion in waveform. – Wire sizing // will be covered in Opt. Issues in VLSI CAD • To further tune the clock tree/mesh
  • 8. 8 Clock trees • A path from the clock source to clock sinks Clock Source FF FF FF FF FFFF FF FFFF FF
  • 9. 9 Clock trees • A path from the clock source to clock sinks Clock Source FF FF FF FF FFFF FF FFFF FF
  • 10. 10 H-Tree Clock Routing 4 Points4 Points 16 Points16 Points Tapping PointTapping Point
  • 11. 11 H-tree Algorithm • Minimize skew by making interconnections to subunits equal in length – Regular pattern – The skew is 0 assuming delay is directly proportional to wirelength • Is this always the case??? • Can be used when terminals are evenly distributed – However, this is never the case in practice (due to blockage, and so on) – So strict (pure) H-trees are rarely used – However, still popular for top-level clock network design – Cons: too costly to be used everywhere Can you think of another shape if non-rectilinear wires are allowed?
  • 12. 12 Method of Means and Medians (MMM) • Applicable when the clock terminals are arbitrarily arranged. • Follows a strategy very similar to H-Tree. • Recursively partition the terminals into two sets of equal size (median). Then, connect the center of mass of the whole circuit to the centers of mass of the two sub-circuits (mean). • Clock skew is only minimized heuristically. The resulting tree may not have zero-skew.
  • 13. 13 An Example of MMM centers of masscenters of mass
  • 14. 14 Geometric Matching Algorithm (GMA) • MMM is a top-down algorithm, but GMA is a bottom-up algorithm. • Geometric matching of n endpoints: – Construct a set of n/2 line segments connecting n endpoints pairwise. – No two line segments share an endpoint. – The cost is the sum of the edge lengths. • The basic idea is to find a minimum cost geometric matching recursively. • Time complexity is O(n2.5 log n) for n endpoints.
  • 15. 15 An Example of GMA Apply geometricApply geometric matching recursively.matching recursively. H-flippingH-flipping Post-processing Tapping pointTapping point (not necessarily(not necessarily the mid-point)the mid-point) Can give clock tree of zero skew.Can give clock tree of zero skew.
  • 16. 16 An Exact Zero Skew Algorithm • ICCAD 1991 and TCAD 1993, Ren-Song Tsay • A classic paper to manage clock skew • Use Elmore delay model to compute delay • Guarantee zero skew – Can easily to extended for zero skew or bounded skew – Can you think of a method to do it? • Try to minimize wire length, but not done very well – Lots of follow up works to minimize total wire length while maintaining zero skew – DME and its extensions
  • 17. 17 Deferred Merge Embedding • As its name implies, DME defers the merging as late as possible, to make sure minimal wire length cost for merging • Independently proposed by several groups – Edahiro, NEC Res Dev, 1991 – Chao et al, DAC’92 – Boese and Kahng, ASIC’92 • DME needs an abstract routing topology as the input • It has a bottom-up phase followed by a top-down process (sounds familiar?)
  • 19. 19 Some Thoughts/Trend • Clock skew scheduling together with clock tree synthesis – Schedule the timing slack of a circuit to the individual registers for optimal performance and as a second criteria to increase the robustness of the implementation w.r.t. process variation. • Variability is a major nanometer concern • Non-tree clock networks for variation-tolerance – How to analyze it? – The task is to investigate a combined optimization such that clock skew variability is reduced with minimum wirelength penalty
  • 20. 20 Non-tree: Spine & Mesh Applied in Pentium processor Spines Clock sinks or local sub-networks Clock sinks or local sub-networks Clock sinks or local sub- networks Applied in IBM microprocessor  Very effective, huge wire [Restle et. al, JSSC’01] [Su et. al, ICCAD’01] [Kurd et. al. JSSC’01]
  • 21. 21 Non-tree: Link Perspective • Non-tree = tree + links • How to select link pairs is the key problem • Link = link_capacitors + link_resistor • Key issue: find the best links that can help the skew variation reduction the most! u w i w u Rl C/2 C/2 u w Rl C/2 C/2 [Rajaram et al, DAC’04]
  • 23. 23 Power Distribution • Power Distribution Network functions – Carry current from pads to transistors on chip – Maintain stable voltage with low noise – Provide average and peak power demands – Provide current return paths for signals – Avoid electromigration & self-heating wearout – Consume little chip area and wire – Easy to lay out
  • 24. 24 Power and Ground Routing • Each standard cell or macro has power and ground signals, i.e., Vdd (power) and GND (ground) • They need to be connected as well • You can imagine that they are HUGE NETWORKS! • In general, P/G routings are pretty regular • They have high priority as well – P/G routing resources are usually reserved – When you do global and detailed routing for signal nets, you cannot use up all the routing resources at each metal layers • Normally some design rules will be given (e.g., 40% of top metal layers are reserved for P/G)
  • 25. 25 P/G Routing Main Objectives • Routing resource – Need to balance the routing resource for P/G, clock and signals • Voltage drop – Static (IR) and dynamic (L di/dt) voltage drops – More voltage drop means more gate delay – Usually less than 5-10% voltage drop is allowed – So you may need to size P/G wires accordingly • Electrical migration – Too big current may cause EMI problem • Others…
  • 26. 26 P/G Mesh (Grid Distribution) • Power/Ground mesh will allow multiple paths from P/G sources to destinations – Less series resistance – Hierarchical power and ground meshes from upper metal layers to lower metal layers • All the way to M1 or M2 (stand cells) – Connection of lower layer layout/cells to the grid is through vias
  • 27. 27 Using One Metal Layer VDDVDD GNDGND One tree for VDD and another tree for GND.
  • 28. 28 Using Two Metal Layers One 2D-grid for VDD and another one for GND: VDDVDD GNDGND M5M5 M4M4
  • 29. 29 Gate Array & Standard Cell Design Inter-weaved combs: VDDVDD GNDGND
  • 30. 30 Some Thoughts/Trends • P/G I/O pad co-optimization with classic physical design • Decoupling capacitor can reduce P/G related voltage drop – Need to be planned together with floorplanning and placement • Multiple voltage/frequency islands make the P/G problem and clock distributions more challenging

Editor's Notes

  1. Within most VLSI circuits, data transfer between functional elements is synchronized by the processing clock. Clock frequency can directly determine the performance of a chip. In the case of a microprocessor the clock frequency determines the Instructions Per Second. IPS=f*NIPC. The clock signal is generated external to the chip and provided to the chip through the clock pin. Each functional unit computes and waits for the next clock signal to pass its results to another unit before the next processing cycle Within most VLSI circuits, data transfer between functional elements is synchronized by the processing clock. Clock frequency can directly determine the performance of a chip. In the case of a microprocessor the clock frequency determines the Instructions Per Second. IPS=f*NIPC. The clock signal is generated external to the chip and provided to the chip through the clock pin. Each functional unit computes and waits for the next clock signal to pass its results to another unit before the next processing cycle. Clock should arrive at the same time to all functional units to minimize delay
  2. Within most VLSI circuits, data transfer between functional elements is synchronized by the processing clock. Clock frequency can directly determine the performance of a chip. In the case of a microprocessor the clock frequency determines the Instructions Per Second. IPS=f*NIPC. The clock signal is generated external to the chip and provided to the chip through the clock pin. Each functional unit computes and waits for the next clock signal to pass its results to another unit before the next processing cycle Within most VLSI circuits, data transfer between functional elements is synchronized by the processing clock. Clock frequency can directly determine the performance of a chip. In the case of a microprocessor the clock frequency determines the Instructions Per Second. IPS=f*NIPC. The clock signal is generated external to the chip and provided to the chip through the clock pin. Each functional unit computes and waits for the next clock signal to pass its results to another unit before the next processing cycle. Clock should arrive at the same time to all functional units to minimize delay
  3. The goal of a clock tree is to get the clock signal from a clock source to clock sinks. The relative arrival times, shape, and amplitude of the clock signal at the sinks need to meet certain criteria for the circuit to work correctly. Simple wires are susceptible to influences of signals in nearby wires and their own parasitics among other things that may compromise the integrity the signal and cause the signal arriving at the sinks to be unacceptable.
  4. Buffering, which restores the signal and reduces delay, helps to guarantee the integrity of the clock signal. These are the two steps that are carried out in the clock tree generation steps in the SSHAFT flow.
  5. The skew can be minimized by making interconnections carrying clocks signal to sub-units equal in length In the case where terminals are arranged symmetrically, as in gate arrays, zero skew achieved by using H-trees
  6. A manhattan plane is one where the distance between two points is defined by min{d(p,q) p in P and q in Q} Manhattan distance delta(x)+delta(y) Sinks are locations. in the manhattan plane.