SlideShare a Scribd company logo
Delay Calculation in CMOS Chips Using
Logical Effort
By
Prof. Akhil U Masurkar (AUM)
B.E. Electronics, M.E. Electronics
Department of Electronics Engineering
Vidyalankar Institute of Technology, Mumbai
CMOS VLSI Design
Outline
 RC Delay Estimation
 Logical Effort
 Delay in a Logic Gate
 Multistage Logic Networks
 Choosing the Best Number of Stages
 Example
 Summary
CMOS VLSI Design
RC Delay Model
 Use equivalent circuits for MOS transistors
– Ideal switch + capacitance and ON resistance
– nMOS having unit width has resistance R
– pMOS having unit width has resistance 2R
(since µp< µn and µn = 2 µp)
– Therefore a pMOS transistor having double unit
width will have resistance R (since R α 1/w)
 Capacitance proportional to width
 Resistance inversely proportional to width
CMOS VLSI Design
CMOS VLSI Design
RESISTANCE ESTIMATION
L
W
T
R = ρL/A
= ρL/WT
= (ρ/T)*(L/W)
R = Rs (L/W)
Rs = sheet resistance
= Ω/
CMOS VLSI Design
Standard Sheet Resistances
Layers
Rs = sheet resistance
5 µm orbit Orbit 1.2 µm
Metal 0.03 0.04 0.04
Diffusion/Active 10 – 50 20 – 45 20 – 45
Silicide 2 – 4 - -
Poly-Si 15 – 110 15 – 30 15 – 30
N-Tr Channel 10^4 2 x 10^4 2 x 10^4
P-Tr Channel 2.5 x 10^4 4.5 x 10^4 4.5 x 10^4
CMOS VLSI Design
CMOS VLSI Design
Example: 3-input NAND
 Sketch a 3-input NAND with transistor widths
chosen to achieve effective rise and fall resistances
equal to a unit inverter (R).
CMOS VLSI Design
Example: 3-input NAND
 Sketch a 3-input NAND with transistor widths
chosen to achieve effective rise and fall resistances
equal to a unit inverter (R).
CMOS VLSI Design
Example: 3-input NAND
 Sketch a 3-input NAND with transistor widths
chosen to achieve effective rise and fall resistances
equal to a unit inverter (R).
3
3
222
3
CMOS VLSI Design
3-input NAND Caps
 Annotate the 3-input NAND gate with gate and
diffusion capacitance.
2 2 2
3
3
3
CMOS VLSI Design
3-input NAND Caps
 Annotate the 3-input NAND gate with gate and
diffusion capacitance.
2 2 2
3
3
3
3C
3C
3C
3C
2C
2C
2C
2C
2C
2C
3C
3C
3C
2C 2C 2C
CMOS VLSI Design
3-input NAND Caps
 Annotate the 3-input NAND gate with gate and
diffusion capacitance.
9C
3C
3C3
3
3
222
5C
5C
5C
CMOS VLSI Design
Elmore Delay
 ON transistors look like resistors
 Pullup or pulldown network modeled as RC ladder
 Elmore delay of RC ladder
R1
R2
R3
RN
C1
C2
C3
CN
   
nodes
1 1 1 2 2 1 2... ...
pd i to source i
i
N N
t R C
R C R R C R R R C
 
       

CMOS VLSI Design
Example: 2-input NAND
 Estimate worst-case rising and falling delay of 2-
input NAND driving h identical gates.
h copies
2
2
22
B
A
x
Y
CMOS VLSI Design
Example: 2-input NAND
 Estimate rising and falling propagation delays of a 2-
input NAND driving h identical gates.
h copies6C
2C2
2
22
4hC
B
A
x
Y
CMOS VLSI Design
Example: 2-input NAND
 Estimate rising and falling propagation delays of a 2-
input NAND driving h identical gates.
h copies6C
2C2
2
22
4hC
B
A
x
Y
R
(6+4h)C
Y
pdrt 
CMOS VLSI Design
Example: 2-input NAND
 Estimate rising and falling propagation delays of a 2-
input NAND driving h identical gates.
h copies6C
2C2
2
22
4hC
B
A
x
Y
R
(6+4h)C
Y  6 4pdrt h RC 
CMOS VLSI Design
Example: 2-input NAND
 Estimate rising and falling propagation delays of a 2-
input NAND driving h identical gates.
h copies6C
2C2
2
22
4hC
B
A
x
Y
CMOS VLSI Design
Example: 2-input NAND
 Estimate rising and falling propagation delays of a 2-
input NAND driving h identical gates.
h copies6C
2C2
2
22
4hC
B
A
x
Y
pdft (6+4h)C2CR/2
R/2x Y
CMOS VLSI Design
Example: 2-input NAND
 Estimate rising and falling propagation delays of a 2-
input NAND driving h identical gates.
h copies6C
2C2
2
22
4hC
B
A
x
Y
      
 
2 2 22 6 4
7 4
R R R
pdft C h C
h RC
     
 
(6+4h)C2CR/2
R/2x Y
CMOS VLSI Design
Delay Components
 Delay has two parts
– Parasitic delay
• 6RC or 7RC
• Independent of load
– Effort delay
• 4hRC
• Proportional to load capacitance
CMOS VLSI Design
Delay Components After Scaling
 Delay has two parts
– Parasitic delay
• 6RC or 7RC
• Independent of load
– Effort delay
• 4hRC/k
• Proportional to load capacitance
CMOS VLSI Design
NOTE
 Parasitic delay of 6 or 7 is determined by the gate driving its
own internal diffusion capacitance.
 By increasing the width the resistance value decreases
whereas the capacitance value increases by the same factor.
Hence the transistors Parasitic gate Delay is Independent of
the transistors width.
 The effort delay of (4h/k)C depends on the ratio (h) of external
load capacitance to input capacitance and hence changes
with the transistors width.
 The factor 4 is set by the complexity of the gate.
 The capacitance ratio is called as the electrical effort or fan-
out.
 The term indicating gate complexity is called the logical
effort.
CMOS VLSI Design
Introduction
 Chip designers face a bewildering array of choices
– What is the best circuit topology for a function?
– How many stages of logic give least delay?
– How wide should the transistors be?
 Logical effort is a method to make these decisions
– Uses a simple model of delay
– Allows back-of-the-envelope calculations
– Helps make rapid comparisons between alternatives
– Emphasizes remarkable symmetries
? ? ?
CMOS VLSI Design
Delay in a Logic Gate
 Express delays in process-independent unit
 Delay has two components
abs
d
d


d f p 
CMOS VLSI Design
Delay in a Logic Gate
 Express delays in process-independent unit
 Delay has two components
 Effort delay f = gh (a.k.a. stage effort)
– Again has two components
absd
d


d pf 
CMOS VLSI Design
Delay in a Logic Gate
 Express delays in process-independent unit
 Delay has two components
 Effort delay f = gh (a.k.a. stage effort)
– Again has two components
 g: logical effort
– Measures relative ability of gate to deliver current
– g  1 for inverter
absd
d


d f p 
CMOS VLSI Design
Delay in a Logic Gate
 Express delays in process-independent unit
 Delay has two components
 Effort delay f = gh (a.k.a. stage effort)
– Again has two components
 h: electrical effort = Cout / Cin
– Ratio of output to input capacitance
– Sometimes called fanout
absd
d


d f p 
CMOS VLSI Design
Delay in a Logic Gate
 Express delays in process-independent unit
 Delay has two components
 Parasitic delay p
– Represents delay of gate driving no load
– Set by internal parasitic capacitance
absd
d


d pf 
CMOS VLSI Design
Delay Plots
d = f + p
= gh + p
ElectricalEffort:
h = Cout
/ Cin
NormalizedDelay:d
Inverter
2-input
NAND
g =
p =
d =
g =
p =
d =
0 1 2 3 4 5
0
1
2
3
4
5
6
CMOS VLSI Design
Delay Plots
d = f + p
= gh + p
 What about
NOR2?
ElectricalEffort:
h = Cout
/ Cin
NormalizedDelay:d
Inverter
2-input
NAND
g = 1
p = 1
d = h +1
g = 4/3
p = 2
d = (4/3)h +2
EffortDelay:f
Parasitic Delay: p
0 1 2 3 4 5
0
1
2
3
4
5
6
CMOS VLSI Design
Computing Logical Effort
 DEF: Logical effort is the ratio of the input
capacitance of a gate to the input capacitance of an
inverter delivering the same output current.
 Measure from delay vs. fanout plots
 Or estimate by counting transistor widths
A Y
A
B
Y
A
B
Y
1
2
1 1
2 2
2
2
4
4
Cin
= 3
g = 3/3
Cin
= 4
g = 4/3
Cin
= 5
g = 5/3
CMOS VLSI Design
Catalog of Gates
 Logical effort of common gates
Gate type Number of inputs
1 2 3 4 n
Inverter 1
NAND 4/3 5/3 6/3 (n+2)/3
NOR 5/3 7/3 9/3 (2n+1)/3
Tristate / mux 2 2 2 2 2
XOR, XNOR 4, 4 6, 12, 6 8, 16, 16, 8
CMOS VLSI Design
Catalog of Gates
 Parasitic delay of common gates
– In multiples of pinv (1)
Gate type Number of inputs
1 2 3 4 n
Inverter 1
NAND 2 3 4 n
NOR 2 3 4 n
Tristate / mux 2 4 6 8 2n
XOR, XNOR 4 6 8
CMOS VLSI Design
Example: FO4 Inverter
 Estimate the delay of a fanout-of-4 (FO4) inverter
Logical Effort: g =
Electrical Effort: h =
Parasitic Delay: p =
Stage Delay: d =
d
CMOS VLSI Design
Example: FO4 Inverter
 Estimate the delay of a fanout-of-4 (FO4) inverter
Logical Effort: g = 1
Electrical Effort: h = 4
Parasitic Delay: p = 1
Stage Delay: d = 5
d
The FO4 delay is about
200 ps in 0.6 mm process
60 ps in a 180 nm process
f/3 ns in an f mm process
CMOS VLSI Design
Multistage Logic Networks
 Logical effort generalizes to multistage networks
 Path Logical Effort
 Path Electrical Effort
 Path Effort
iG g 
out-path
in-path
C
H
C

i i iF f g h  
10
x
y z
20
g1 = 1
h1
=x/10
g2 =5/3
h2
=y/x
g3 =4/3
h3
=z/y
g4 = 1
h4
=20/z
CMOS VLSI Design
Multistage Logic Networks
 Logical effort generalizes to multistage networks
 Path Logical Effort
 Path Electrical Effort
 Path Effort
 Can we write F = GH?
iG g 
out path
in path
C
H
C



i i iF f g h  
CMOS VLSI Design
Paths that Branch
 No! Consider paths that branch:
G =
H =
GH =
h1 =
h2 =
F = GH?
5
15
15
90
90
CMOS VLSI Design
Paths that Branch
 No! Consider paths that branch:
G = 1
H = 90 / 5 = 18
GH = 18
h1 = (15 +15) / 5 = 6
h2 = 90 / 15 = 6
F = g1g2h1h2 = 36 = 2GH
5
15
15
90
90
CMOS VLSI Design
Branching Effort
 Introduce branching effort
– Accounts for branching between stages in path
 Now we compute the path effort
– F = GBH
on path off path
on path
C C
b
C


iB b  ih BH
Note:
CMOS VLSI Design
Multistage Delays
 Path Effort Delay
 Path Parasitic Delay
 Path Delay
F iD f 
iP p 
i FD d D P  
CMOS VLSI Design
Designing Fast Circuits
 Delay is smallest when each stage bears same effort
 Thus minimum delay of N stage path is
 This is a key result of logical effort
– Find fastest possible delay
– Doesn’t require calculating gate sizes
i FD d D P  
1
ˆ N
i if g h F 
1
N
D NF P 
CMOS VLSI Design
Gate Sizes
 How wide should the gates be for least delay?
 Working backward, apply capacitance
transformation to find input capacitance of each gate
given load it drives.
 Check work by verifying input cap spec is met.
ˆ
ˆ
out
in
i
i
C
C
i out
in
f gh g
g C
C
f
 
 
CMOS VLSI Design
Example: 3-stage path
 Select gate sizes x and y for least delay from A to B
8
x
x
x
y
y
45
45
A
B
CMOS VLSI Design
Example: 3-stage path
Logical Effort G =
Electrical Effort H =
Branching Effort B =
Path Effort F =
Best Stage Effort
Parasitic Delay P =
Delay D =
8
x
x
x
y
y
45
45
A
B
ˆf 
CMOS VLSI Design
Example: 3-stage path
Logical Effort G = (4/3)*(5/3)*(5/3) = 100/27
Electrical Effort H = 45/8
Branching Effort B = 3 * 2 = 6
Path Effort F = GBH = 125
Best Stage Effort
Parasitic Delay P = 2 + 3 + 2 = 7
Delay D = 3*5 + 7 = 22 = 4.4 FO4
8
x
x
x
y
y
45
45
A
B
3ˆ 5f F 
CMOS VLSI Design
Example: 3-stage path
 Work backward for sizes
y =
x =
8
x
x
x
y
y
45
45
A
B
CMOS VLSI Design
Example: 3-stage path
 Work backward for sizes
y = 45 * (5/3) / 5 = 15
x = (15*2) * (5/3) / 5 = 10
P: 4
N: 4
45
45
A
B
P: 4
N: 6
P: 12
N: 3
CMOS VLSI Design
Best Number of Stages
 How many stages should a path use?
– Minimizing number of stages is not always fastest
 Example: drive 64-bit datapath with unit inverter
D =
1 1 1 1
64 64 64 64
InitialDriver
DatapathLoad
N:
f:
D:
1 2 3 4
CMOS VLSI Design
Best Number of Stages
 How many stages should a path use?
– Minimizing number of stages is not always fastest
 Example: drive 64-bit datapath with unit inverter
D = NF1/N + P
= N(64)1/N + N
1 1 1 1
8 4
16 8
2.8
23
64 64 64 64
InitialDriver
DatapathLoad
N:
f:
D:
1
64
65
2
8
18
3
4
15
4
2.8
15.3
Fastest
CMOS VLSI Design
Derivation
 Consider adding inverters to end of path
– How many give least delay?
 Define best stage effort
N - n1
ExtraInverters
Logic Block:
n1
Stages
Path EffortF
 
11
1
1
N
n
i inv
i
D NF p N n p

   
1 1 1
ln 0N N N
inv
D
F F F p
N

    

 1 ln 0invp    
1
N
F 
CMOS VLSI Design
Best Stage Effort
 has no closed-form solution
 Neglecting parasitics (pinv = 0), we find  = 2.718 (e)
 For pinv = 1, solve numerically for  = 3.59
 1 ln 0invp    
CMOS VLSI Design
Sensitivity Analysis
 How sensitive is delay to using exactly the best
number of stages?
 2.4 <  < 6 gives delay within 15% of optimal
– We can be sloppy!
– I like  = 4
1.0
1.2
1.4
1.6
1.0 2.00.5 1.40.7
N / N
1.15
1.26
1.51
( =2.4)(=6)
D(N)/D(N) 0.0
CMOS VLSI Design
Review of Definitions
Term Stage Path
number of stages
logical effort
electrical effort
branching effort
effort
effort delay
parasitic delay
delay
iG g 
out-path
in-path
C
CH 
N
iB b 
F GBH
F iD f 
iP p 
i FD d D P  
out
in
C
Ch 
on-path off-path
on-path
C C
Cb


f gh
f
p
d f p 
g
1
CMOS VLSI Design
Method of Logical Effort
1) Compute path effort
2) Estimate best number of stages
3) Sketch path with N stages
4) Estimate least delay
5) Determine best stage effort
6) Find gate sizes
F GBH
4logN F
1
N
D NF P 
1
ˆ N
f F
ˆ
i
i
i out
in
g C
C
f

CMOS VLSI Design
Limits of Logical Effort
 Chicken and egg problem
– Need path to compute G
– But don’t know number of stages without G
 Simplistic delay model
– Neglects input rise time effects
 Interconnect
– Iteration required in designs with wire
 Maximum speed only
– Not minimum area/power for constrained delay
CMOS VLSI Design
Summary
 Logical effort is useful for thinking of delay in circuits
– Numeric logical effort characterizes gates
– NANDs are faster than NORs in CMOS
– Paths are fastest when effort delays are ~4
– Path delay is weakly sensitive to stages, sizes
– But using fewer stages doesn’t mean faster paths
– Delay of path is about log4F FO4 inverter delays
– Inverters and NAND2 best for driving large caps
 Provides language for discussing fast circuits
– But requires practice to master
CMOS VLSI Design
References
 A Gate-Level Leakage Power Reduction Method for Ultra-Low-Power CMOS Circuits Jonathan P. Halter
and Farid N. Najm.ECE Dept. and Coordinated Science Lab. University of Illinois at Urbana
 Low-Power CMOS Digital Design Anantha P. Chandrakasan, Samuel Sheng, and Robert W.
Brodersen, Fellow, IEEE.
 Sutherland and R.F. Sproull, “Logical Effort: Designing for Speed on the Back of an Envelope”, in
C.H. Sequin, Ed., Advanced Research in VLSI. Cambridge, MA: MIT Press, 1991.
 V. G. Oklobdzija, “High-Performance System Design: Circuits and Logic”, IEEE Press, February 1999.
 Jan Rabaey, “Digital Integrated Circuits: A Design Perspective”, Prentice Hall, 1996. Synopsys Library
Documentation.
 H. Dao and V. G. Oklobdzija, “Comparative Delay Analysis of Representative Adders Using Logical Effort
Technique”, 35th Asilomar Conference on Signals, Systems and Computers, 2001.
 H. Dao and V. G. Oklobdzija, “Application of Logical Effort on Delay Analysis of 64-bit Static Carry-Look-
ahead Adder”, 35th Asilomar Conference on Signals, Systems and Computers, 2001.
 Xiao Yan Yu , William W. Walker Application of Logical Effort on Design of Arithmetic Blocks
 I Sutherland, b Sproull and D haris, Logical Effort: Designing fast CMOS circuits, San Fransisco,
CA: Morgan Kaufmann, 1999
 T. sakurai and R Newton, Alpha power moseft and it application to CMOS inverter Delays and Other
Formulas, 2 April 1990
 RC Interconnect Optimization under the Elmore Delay Model

More Related Content

What's hot

Cascade and cascode amplifiers
Cascade and cascode amplifiersCascade and cascode amplifiers
Cascade and cascode amplifiers
PRAVEENA N G
 
4 Current Mirrors 2022.pptx
4 Current Mirrors 2022.pptx4 Current Mirrors 2022.pptx
4 Current Mirrors 2022.pptx
hamidkhan498605
 
Single Sideband Suppressed Carrier (SSB-SC)
Single Sideband Suppressed Carrier (SSB-SC)Single Sideband Suppressed Carrier (SSB-SC)
Single Sideband Suppressed Carrier (SSB-SC)
Ridwanul Hoque
 
Semi Custom Integrated Circuit Design
 Semi Custom Integrated Circuit Design Semi Custom Integrated Circuit Design
Semi Custom Integrated Circuit Design
Dr.YNM
 
RF Module Design - [Chapter 6] Power Amplifier
RF Module Design - [Chapter 6]  Power AmplifierRF Module Design - [Chapter 6]  Power Amplifier
RF Module Design - [Chapter 6] Power Amplifier
Simen Li
 
Introduction to FPGAs
Introduction to FPGAsIntroduction to FPGAs
Introduction to FPGAs
Sudhanshu Janwadkar
 
Stick Diagram
Stick DiagramStick Diagram
Stick Diagram
Kalyan Acharjya
 
4. single stage amplifier
4. single stage amplifier4. single stage amplifier
4. single stage amplifier
ShahbazQamar2
 
OP AMP Applications
OP AMP ApplicationsOP AMP Applications
OP AMP Applications
aroosa khan
 
Chap 3
Chap 3Chap 3
Chap 3
Tanuj Patel
 
Mos transistor theory
Mos transistor theoryMos transistor theory
Mos transistor theory
Ekta Jolly
 
S parameters
S parametersS parameters
S parameters
Amit Rastogi
 
Mos transistor
Mos transistorMos transistor
Mos transistor
Murali Rai
 
Fundamentals of FPGA
Fundamentals of FPGAFundamentals of FPGA
Fundamentals of FPGA
velamakuri
 
VLSI Lab manual PDF
VLSI Lab manual PDFVLSI Lab manual PDF
VLSI Lab manual PDF
UR11EC098
 
Presentation on Scaling
Presentation on ScalingPresentation on Scaling
Presentation on Scaling
Raviraj Kaur
 
Double Side band Suppressed carrier (DSB-SC) Modulation and Demodulation.
 Double Side band Suppressed carrier (DSB-SC) Modulation and Demodulation. Double Side band Suppressed carrier (DSB-SC) Modulation and Demodulation.
Double Side band Suppressed carrier (DSB-SC) Modulation and Demodulation.
SAiFul IslAm
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor Logic
Sudhanshu Janwadkar
 
Two stage op amp design on cadence
Two stage op amp design on cadenceTwo stage op amp design on cadence
Two stage op amp design on cadence
Haowei Jiang
 
Reflection and Transmission coefficients in transmission line
Reflection and Transmission coefficients in transmission lineReflection and Transmission coefficients in transmission line
Reflection and Transmission coefficients in transmission line
RCC Institute of Information Technology
 

What's hot (20)

Cascade and cascode amplifiers
Cascade and cascode amplifiersCascade and cascode amplifiers
Cascade and cascode amplifiers
 
4 Current Mirrors 2022.pptx
4 Current Mirrors 2022.pptx4 Current Mirrors 2022.pptx
4 Current Mirrors 2022.pptx
 
Single Sideband Suppressed Carrier (SSB-SC)
Single Sideband Suppressed Carrier (SSB-SC)Single Sideband Suppressed Carrier (SSB-SC)
Single Sideband Suppressed Carrier (SSB-SC)
 
Semi Custom Integrated Circuit Design
 Semi Custom Integrated Circuit Design Semi Custom Integrated Circuit Design
Semi Custom Integrated Circuit Design
 
RF Module Design - [Chapter 6] Power Amplifier
RF Module Design - [Chapter 6]  Power AmplifierRF Module Design - [Chapter 6]  Power Amplifier
RF Module Design - [Chapter 6] Power Amplifier
 
Introduction to FPGAs
Introduction to FPGAsIntroduction to FPGAs
Introduction to FPGAs
 
Stick Diagram
Stick DiagramStick Diagram
Stick Diagram
 
4. single stage amplifier
4. single stage amplifier4. single stage amplifier
4. single stage amplifier
 
OP AMP Applications
OP AMP ApplicationsOP AMP Applications
OP AMP Applications
 
Chap 3
Chap 3Chap 3
Chap 3
 
Mos transistor theory
Mos transistor theoryMos transistor theory
Mos transistor theory
 
S parameters
S parametersS parameters
S parameters
 
Mos transistor
Mos transistorMos transistor
Mos transistor
 
Fundamentals of FPGA
Fundamentals of FPGAFundamentals of FPGA
Fundamentals of FPGA
 
VLSI Lab manual PDF
VLSI Lab manual PDFVLSI Lab manual PDF
VLSI Lab manual PDF
 
Presentation on Scaling
Presentation on ScalingPresentation on Scaling
Presentation on Scaling
 
Double Side band Suppressed carrier (DSB-SC) Modulation and Demodulation.
 Double Side band Suppressed carrier (DSB-SC) Modulation and Demodulation. Double Side band Suppressed carrier (DSB-SC) Modulation and Demodulation.
Double Side band Suppressed carrier (DSB-SC) Modulation and Demodulation.
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor Logic
 
Two stage op amp design on cadence
Two stage op amp design on cadenceTwo stage op amp design on cadence
Two stage op amp design on cadence
 
Reflection and Transmission coefficients in transmission line
Reflection and Transmission coefficients in transmission lineReflection and Transmission coefficients in transmission line
Reflection and Transmission coefficients in transmission line
 

Similar to Delay Calculation in CMOS Chips Using Logical Effort by Prof. Akhil Masurkar

2016 ch4 delay
2016 ch4 delay2016 ch4 delay
2016 ch4 delay
hdainghia194
 
lect501.ppt
lect501.pptlect501.ppt
Lecture 10.ppt
Lecture 10.pptLecture 10.ppt
Lecture 10.ppt
TimePresents
 
Lecture 8.ppt
Lecture 8.pptLecture 8.ppt
Lecture 8.ppt
TimePresents
 
CMOS Transistor
CMOS TransistorCMOS Transistor
CMOS Transistor
SubhamMukherjee33
 
CMOS Topic 6 -_designing_combinational_logic_circuits
CMOS Topic 6 -_designing_combinational_logic_circuitsCMOS Topic 6 -_designing_combinational_logic_circuits
CMOS Topic 6 -_designing_combinational_logic_circuits
Ikhwan_Fakrudin
 
MetroScientific Week 1.pptx
MetroScientific Week 1.pptxMetroScientific Week 1.pptx
MetroScientific Week 1.pptx
Bipin Saha
 
CMOS Combinational_Logic_Circuits.pdf
CMOS Combinational_Logic_Circuits.pdfCMOS Combinational_Logic_Circuits.pdf
CMOS Combinational_Logic_Circuits.pdf
SouravRoyElectronics
 
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
Javed G S, PhD
 
Ijetr011811
Ijetr011811Ijetr011811
Ijetr011811
ER Publication.org
 
Power
PowerPower
Power
AnimSarker
 
lec23Concl.ppt
lec23Concl.pptlec23Concl.ppt
lec23Concl.ppt
BhuvanaEshwari1
 
9077262.ppt
9077262.ppt9077262.ppt
9077262.ppt
kavita417551
 
High Speed, Broadband Amplifiers.pdf
High Speed, Broadband Amplifiers.pdfHigh Speed, Broadband Amplifiers.pdf
High Speed, Broadband Amplifiers.pdf
Lu Chen
 
Lecture 9.ppt
Lecture 9.pptLecture 9.ppt
Lecture 9.ppt
TimePresents
 
Introduction to COMS VLSI Design
Introduction to COMS VLSI DesignIntroduction to COMS VLSI Design
Introduction to COMS VLSI Design
Eutectics
 
Lecture11 combinational logic dynamics
Lecture11 combinational logic dynamicsLecture11 combinational logic dynamics
Lecture11 combinational logic dynamics
vidhya DS
 
Advd lecture 09 - microsoft power point - lec-9-inverte-rpart4 [compatibili...
Advd   lecture 09 - microsoft power point - lec-9-inverte-rpart4 [compatibili...Advd   lecture 09 - microsoft power point - lec-9-inverte-rpart4 [compatibili...
Advd lecture 09 - microsoft power point - lec-9-inverte-rpart4 [compatibili...
Hardik Gupta
 
Advd lecture 7 logical effort
Advd   lecture 7 logical effortAdvd   lecture 7 logical effort
Advd lecture 7 logical effort
Hardik Gupta
 
Lect2 up060 (100324)
Lect2 up060 (100324)Lect2 up060 (100324)
Lect2 up060 (100324)
aicdesign
 

Similar to Delay Calculation in CMOS Chips Using Logical Effort by Prof. Akhil Masurkar (20)

2016 ch4 delay
2016 ch4 delay2016 ch4 delay
2016 ch4 delay
 
lect501.ppt
lect501.pptlect501.ppt
lect501.ppt
 
Lecture 10.ppt
Lecture 10.pptLecture 10.ppt
Lecture 10.ppt
 
Lecture 8.ppt
Lecture 8.pptLecture 8.ppt
Lecture 8.ppt
 
CMOS Transistor
CMOS TransistorCMOS Transistor
CMOS Transistor
 
CMOS Topic 6 -_designing_combinational_logic_circuits
CMOS Topic 6 -_designing_combinational_logic_circuitsCMOS Topic 6 -_designing_combinational_logic_circuits
CMOS Topic 6 -_designing_combinational_logic_circuits
 
MetroScientific Week 1.pptx
MetroScientific Week 1.pptxMetroScientific Week 1.pptx
MetroScientific Week 1.pptx
 
CMOS Combinational_Logic_Circuits.pdf
CMOS Combinational_Logic_Circuits.pdfCMOS Combinational_Logic_Circuits.pdf
CMOS Combinational_Logic_Circuits.pdf
 
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
 
Ijetr011811
Ijetr011811Ijetr011811
Ijetr011811
 
Power
PowerPower
Power
 
lec23Concl.ppt
lec23Concl.pptlec23Concl.ppt
lec23Concl.ppt
 
9077262.ppt
9077262.ppt9077262.ppt
9077262.ppt
 
High Speed, Broadband Amplifiers.pdf
High Speed, Broadband Amplifiers.pdfHigh Speed, Broadband Amplifiers.pdf
High Speed, Broadband Amplifiers.pdf
 
Lecture 9.ppt
Lecture 9.pptLecture 9.ppt
Lecture 9.ppt
 
Introduction to COMS VLSI Design
Introduction to COMS VLSI DesignIntroduction to COMS VLSI Design
Introduction to COMS VLSI Design
 
Lecture11 combinational logic dynamics
Lecture11 combinational logic dynamicsLecture11 combinational logic dynamics
Lecture11 combinational logic dynamics
 
Advd lecture 09 - microsoft power point - lec-9-inverte-rpart4 [compatibili...
Advd   lecture 09 - microsoft power point - lec-9-inverte-rpart4 [compatibili...Advd   lecture 09 - microsoft power point - lec-9-inverte-rpart4 [compatibili...
Advd lecture 09 - microsoft power point - lec-9-inverte-rpart4 [compatibili...
 
Advd lecture 7 logical effort
Advd   lecture 7 logical effortAdvd   lecture 7 logical effort
Advd lecture 7 logical effort
 
Lect2 up060 (100324)
Lect2 up060 (100324)Lect2 up060 (100324)
Lect2 up060 (100324)
 

Delay Calculation in CMOS Chips Using Logical Effort by Prof. Akhil Masurkar

  • 1. Delay Calculation in CMOS Chips Using Logical Effort By Prof. Akhil U Masurkar (AUM) B.E. Electronics, M.E. Electronics Department of Electronics Engineering Vidyalankar Institute of Technology, Mumbai
  • 2. CMOS VLSI Design Outline  RC Delay Estimation  Logical Effort  Delay in a Logic Gate  Multistage Logic Networks  Choosing the Best Number of Stages  Example  Summary
  • 3. CMOS VLSI Design RC Delay Model  Use equivalent circuits for MOS transistors – Ideal switch + capacitance and ON resistance – nMOS having unit width has resistance R – pMOS having unit width has resistance 2R (since µp< µn and µn = 2 µp) – Therefore a pMOS transistor having double unit width will have resistance R (since R α 1/w)  Capacitance proportional to width  Resistance inversely proportional to width
  • 5. CMOS VLSI Design RESISTANCE ESTIMATION L W T R = ρL/A = ρL/WT = (ρ/T)*(L/W) R = Rs (L/W) Rs = sheet resistance = Ω/
  • 6. CMOS VLSI Design Standard Sheet Resistances Layers Rs = sheet resistance 5 µm orbit Orbit 1.2 µm Metal 0.03 0.04 0.04 Diffusion/Active 10 – 50 20 – 45 20 – 45 Silicide 2 – 4 - - Poly-Si 15 – 110 15 – 30 15 – 30 N-Tr Channel 10^4 2 x 10^4 2 x 10^4 P-Tr Channel 2.5 x 10^4 4.5 x 10^4 4.5 x 10^4
  • 8. CMOS VLSI Design Example: 3-input NAND  Sketch a 3-input NAND with transistor widths chosen to achieve effective rise and fall resistances equal to a unit inverter (R).
  • 9. CMOS VLSI Design Example: 3-input NAND  Sketch a 3-input NAND with transistor widths chosen to achieve effective rise and fall resistances equal to a unit inverter (R).
  • 10. CMOS VLSI Design Example: 3-input NAND  Sketch a 3-input NAND with transistor widths chosen to achieve effective rise and fall resistances equal to a unit inverter (R). 3 3 222 3
  • 11. CMOS VLSI Design 3-input NAND Caps  Annotate the 3-input NAND gate with gate and diffusion capacitance. 2 2 2 3 3 3
  • 12. CMOS VLSI Design 3-input NAND Caps  Annotate the 3-input NAND gate with gate and diffusion capacitance. 2 2 2 3 3 3 3C 3C 3C 3C 2C 2C 2C 2C 2C 2C 3C 3C 3C 2C 2C 2C
  • 13. CMOS VLSI Design 3-input NAND Caps  Annotate the 3-input NAND gate with gate and diffusion capacitance. 9C 3C 3C3 3 3 222 5C 5C 5C
  • 14. CMOS VLSI Design Elmore Delay  ON transistors look like resistors  Pullup or pulldown network modeled as RC ladder  Elmore delay of RC ladder R1 R2 R3 RN C1 C2 C3 CN     nodes 1 1 1 2 2 1 2... ... pd i to source i i N N t R C R C R R C R R R C           
  • 15. CMOS VLSI Design Example: 2-input NAND  Estimate worst-case rising and falling delay of 2- input NAND driving h identical gates. h copies 2 2 22 B A x Y
  • 16. CMOS VLSI Design Example: 2-input NAND  Estimate rising and falling propagation delays of a 2- input NAND driving h identical gates. h copies6C 2C2 2 22 4hC B A x Y
  • 17. CMOS VLSI Design Example: 2-input NAND  Estimate rising and falling propagation delays of a 2- input NAND driving h identical gates. h copies6C 2C2 2 22 4hC B A x Y R (6+4h)C Y pdrt 
  • 18. CMOS VLSI Design Example: 2-input NAND  Estimate rising and falling propagation delays of a 2- input NAND driving h identical gates. h copies6C 2C2 2 22 4hC B A x Y R (6+4h)C Y  6 4pdrt h RC 
  • 19. CMOS VLSI Design Example: 2-input NAND  Estimate rising and falling propagation delays of a 2- input NAND driving h identical gates. h copies6C 2C2 2 22 4hC B A x Y
  • 20. CMOS VLSI Design Example: 2-input NAND  Estimate rising and falling propagation delays of a 2- input NAND driving h identical gates. h copies6C 2C2 2 22 4hC B A x Y pdft (6+4h)C2CR/2 R/2x Y
  • 21. CMOS VLSI Design Example: 2-input NAND  Estimate rising and falling propagation delays of a 2- input NAND driving h identical gates. h copies6C 2C2 2 22 4hC B A x Y          2 2 22 6 4 7 4 R R R pdft C h C h RC         (6+4h)C2CR/2 R/2x Y
  • 22. CMOS VLSI Design Delay Components  Delay has two parts – Parasitic delay • 6RC or 7RC • Independent of load – Effort delay • 4hRC • Proportional to load capacitance
  • 23. CMOS VLSI Design Delay Components After Scaling  Delay has two parts – Parasitic delay • 6RC or 7RC • Independent of load – Effort delay • 4hRC/k • Proportional to load capacitance
  • 24. CMOS VLSI Design NOTE  Parasitic delay of 6 or 7 is determined by the gate driving its own internal diffusion capacitance.  By increasing the width the resistance value decreases whereas the capacitance value increases by the same factor. Hence the transistors Parasitic gate Delay is Independent of the transistors width.  The effort delay of (4h/k)C depends on the ratio (h) of external load capacitance to input capacitance and hence changes with the transistors width.  The factor 4 is set by the complexity of the gate.  The capacitance ratio is called as the electrical effort or fan- out.  The term indicating gate complexity is called the logical effort.
  • 25. CMOS VLSI Design Introduction  Chip designers face a bewildering array of choices – What is the best circuit topology for a function? – How many stages of logic give least delay? – How wide should the transistors be?  Logical effort is a method to make these decisions – Uses a simple model of delay – Allows back-of-the-envelope calculations – Helps make rapid comparisons between alternatives – Emphasizes remarkable symmetries ? ? ?
  • 26. CMOS VLSI Design Delay in a Logic Gate  Express delays in process-independent unit  Delay has two components abs d d   d f p 
  • 27. CMOS VLSI Design Delay in a Logic Gate  Express delays in process-independent unit  Delay has two components  Effort delay f = gh (a.k.a. stage effort) – Again has two components absd d   d pf 
  • 28. CMOS VLSI Design Delay in a Logic Gate  Express delays in process-independent unit  Delay has two components  Effort delay f = gh (a.k.a. stage effort) – Again has two components  g: logical effort – Measures relative ability of gate to deliver current – g  1 for inverter absd d   d f p 
  • 29. CMOS VLSI Design Delay in a Logic Gate  Express delays in process-independent unit  Delay has two components  Effort delay f = gh (a.k.a. stage effort) – Again has two components  h: electrical effort = Cout / Cin – Ratio of output to input capacitance – Sometimes called fanout absd d   d f p 
  • 30. CMOS VLSI Design Delay in a Logic Gate  Express delays in process-independent unit  Delay has two components  Parasitic delay p – Represents delay of gate driving no load – Set by internal parasitic capacitance absd d   d pf 
  • 31. CMOS VLSI Design Delay Plots d = f + p = gh + p ElectricalEffort: h = Cout / Cin NormalizedDelay:d Inverter 2-input NAND g = p = d = g = p = d = 0 1 2 3 4 5 0 1 2 3 4 5 6
  • 32. CMOS VLSI Design Delay Plots d = f + p = gh + p  What about NOR2? ElectricalEffort: h = Cout / Cin NormalizedDelay:d Inverter 2-input NAND g = 1 p = 1 d = h +1 g = 4/3 p = 2 d = (4/3)h +2 EffortDelay:f Parasitic Delay: p 0 1 2 3 4 5 0 1 2 3 4 5 6
  • 33. CMOS VLSI Design Computing Logical Effort  DEF: Logical effort is the ratio of the input capacitance of a gate to the input capacitance of an inverter delivering the same output current.  Measure from delay vs. fanout plots  Or estimate by counting transistor widths A Y A B Y A B Y 1 2 1 1 2 2 2 2 4 4 Cin = 3 g = 3/3 Cin = 4 g = 4/3 Cin = 5 g = 5/3
  • 34. CMOS VLSI Design Catalog of Gates  Logical effort of common gates Gate type Number of inputs 1 2 3 4 n Inverter 1 NAND 4/3 5/3 6/3 (n+2)/3 NOR 5/3 7/3 9/3 (2n+1)/3 Tristate / mux 2 2 2 2 2 XOR, XNOR 4, 4 6, 12, 6 8, 16, 16, 8
  • 35. CMOS VLSI Design Catalog of Gates  Parasitic delay of common gates – In multiples of pinv (1) Gate type Number of inputs 1 2 3 4 n Inverter 1 NAND 2 3 4 n NOR 2 3 4 n Tristate / mux 2 4 6 8 2n XOR, XNOR 4 6 8
  • 36. CMOS VLSI Design Example: FO4 Inverter  Estimate the delay of a fanout-of-4 (FO4) inverter Logical Effort: g = Electrical Effort: h = Parasitic Delay: p = Stage Delay: d = d
  • 37. CMOS VLSI Design Example: FO4 Inverter  Estimate the delay of a fanout-of-4 (FO4) inverter Logical Effort: g = 1 Electrical Effort: h = 4 Parasitic Delay: p = 1 Stage Delay: d = 5 d The FO4 delay is about 200 ps in 0.6 mm process 60 ps in a 180 nm process f/3 ns in an f mm process
  • 38. CMOS VLSI Design Multistage Logic Networks  Logical effort generalizes to multistage networks  Path Logical Effort  Path Electrical Effort  Path Effort iG g  out-path in-path C H C  i i iF f g h   10 x y z 20 g1 = 1 h1 =x/10 g2 =5/3 h2 =y/x g3 =4/3 h3 =z/y g4 = 1 h4 =20/z
  • 39. CMOS VLSI Design Multistage Logic Networks  Logical effort generalizes to multistage networks  Path Logical Effort  Path Electrical Effort  Path Effort  Can we write F = GH? iG g  out path in path C H C    i i iF f g h  
  • 40. CMOS VLSI Design Paths that Branch  No! Consider paths that branch: G = H = GH = h1 = h2 = F = GH? 5 15 15 90 90
  • 41. CMOS VLSI Design Paths that Branch  No! Consider paths that branch: G = 1 H = 90 / 5 = 18 GH = 18 h1 = (15 +15) / 5 = 6 h2 = 90 / 15 = 6 F = g1g2h1h2 = 36 = 2GH 5 15 15 90 90
  • 42. CMOS VLSI Design Branching Effort  Introduce branching effort – Accounts for branching between stages in path  Now we compute the path effort – F = GBH on path off path on path C C b C   iB b  ih BH Note:
  • 43. CMOS VLSI Design Multistage Delays  Path Effort Delay  Path Parasitic Delay  Path Delay F iD f  iP p  i FD d D P  
  • 44. CMOS VLSI Design Designing Fast Circuits  Delay is smallest when each stage bears same effort  Thus minimum delay of N stage path is  This is a key result of logical effort – Find fastest possible delay – Doesn’t require calculating gate sizes i FD d D P   1 ˆ N i if g h F  1 N D NF P 
  • 45. CMOS VLSI Design Gate Sizes  How wide should the gates be for least delay?  Working backward, apply capacitance transformation to find input capacitance of each gate given load it drives.  Check work by verifying input cap spec is met. ˆ ˆ out in i i C C i out in f gh g g C C f    
  • 46. CMOS VLSI Design Example: 3-stage path  Select gate sizes x and y for least delay from A to B 8 x x x y y 45 45 A B
  • 47. CMOS VLSI Design Example: 3-stage path Logical Effort G = Electrical Effort H = Branching Effort B = Path Effort F = Best Stage Effort Parasitic Delay P = Delay D = 8 x x x y y 45 45 A B ˆf 
  • 48. CMOS VLSI Design Example: 3-stage path Logical Effort G = (4/3)*(5/3)*(5/3) = 100/27 Electrical Effort H = 45/8 Branching Effort B = 3 * 2 = 6 Path Effort F = GBH = 125 Best Stage Effort Parasitic Delay P = 2 + 3 + 2 = 7 Delay D = 3*5 + 7 = 22 = 4.4 FO4 8 x x x y y 45 45 A B 3ˆ 5f F 
  • 49. CMOS VLSI Design Example: 3-stage path  Work backward for sizes y = x = 8 x x x y y 45 45 A B
  • 50. CMOS VLSI Design Example: 3-stage path  Work backward for sizes y = 45 * (5/3) / 5 = 15 x = (15*2) * (5/3) / 5 = 10 P: 4 N: 4 45 45 A B P: 4 N: 6 P: 12 N: 3
  • 51. CMOS VLSI Design Best Number of Stages  How many stages should a path use? – Minimizing number of stages is not always fastest  Example: drive 64-bit datapath with unit inverter D = 1 1 1 1 64 64 64 64 InitialDriver DatapathLoad N: f: D: 1 2 3 4
  • 52. CMOS VLSI Design Best Number of Stages  How many stages should a path use? – Minimizing number of stages is not always fastest  Example: drive 64-bit datapath with unit inverter D = NF1/N + P = N(64)1/N + N 1 1 1 1 8 4 16 8 2.8 23 64 64 64 64 InitialDriver DatapathLoad N: f: D: 1 64 65 2 8 18 3 4 15 4 2.8 15.3 Fastest
  • 53. CMOS VLSI Design Derivation  Consider adding inverters to end of path – How many give least delay?  Define best stage effort N - n1 ExtraInverters Logic Block: n1 Stages Path EffortF   11 1 1 N n i inv i D NF p N n p      1 1 1 ln 0N N N inv D F F F p N         1 ln 0invp     1 N F 
  • 54. CMOS VLSI Design Best Stage Effort  has no closed-form solution  Neglecting parasitics (pinv = 0), we find  = 2.718 (e)  For pinv = 1, solve numerically for  = 3.59  1 ln 0invp    
  • 55. CMOS VLSI Design Sensitivity Analysis  How sensitive is delay to using exactly the best number of stages?  2.4 <  < 6 gives delay within 15% of optimal – We can be sloppy! – I like  = 4 1.0 1.2 1.4 1.6 1.0 2.00.5 1.40.7 N / N 1.15 1.26 1.51 ( =2.4)(=6) D(N)/D(N) 0.0
  • 56. CMOS VLSI Design Review of Definitions Term Stage Path number of stages logical effort electrical effort branching effort effort effort delay parasitic delay delay iG g  out-path in-path C CH  N iB b  F GBH F iD f  iP p  i FD d D P   out in C Ch  on-path off-path on-path C C Cb   f gh f p d f p  g 1
  • 57. CMOS VLSI Design Method of Logical Effort 1) Compute path effort 2) Estimate best number of stages 3) Sketch path with N stages 4) Estimate least delay 5) Determine best stage effort 6) Find gate sizes F GBH 4logN F 1 N D NF P  1 ˆ N f F ˆ i i i out in g C C f 
  • 58. CMOS VLSI Design Limits of Logical Effort  Chicken and egg problem – Need path to compute G – But don’t know number of stages without G  Simplistic delay model – Neglects input rise time effects  Interconnect – Iteration required in designs with wire  Maximum speed only – Not minimum area/power for constrained delay
  • 59. CMOS VLSI Design Summary  Logical effort is useful for thinking of delay in circuits – Numeric logical effort characterizes gates – NANDs are faster than NORs in CMOS – Paths are fastest when effort delays are ~4 – Path delay is weakly sensitive to stages, sizes – But using fewer stages doesn’t mean faster paths – Delay of path is about log4F FO4 inverter delays – Inverters and NAND2 best for driving large caps  Provides language for discussing fast circuits – But requires practice to master
  • 60. CMOS VLSI Design References  A Gate-Level Leakage Power Reduction Method for Ultra-Low-Power CMOS Circuits Jonathan P. Halter and Farid N. Najm.ECE Dept. and Coordinated Science Lab. University of Illinois at Urbana  Low-Power CMOS Digital Design Anantha P. Chandrakasan, Samuel Sheng, and Robert W. Brodersen, Fellow, IEEE.  Sutherland and R.F. Sproull, “Logical Effort: Designing for Speed on the Back of an Envelope”, in C.H. Sequin, Ed., Advanced Research in VLSI. Cambridge, MA: MIT Press, 1991.  V. G. Oklobdzija, “High-Performance System Design: Circuits and Logic”, IEEE Press, February 1999.  Jan Rabaey, “Digital Integrated Circuits: A Design Perspective”, Prentice Hall, 1996. Synopsys Library Documentation.  H. Dao and V. G. Oklobdzija, “Comparative Delay Analysis of Representative Adders Using Logical Effort Technique”, 35th Asilomar Conference on Signals, Systems and Computers, 2001.  H. Dao and V. G. Oklobdzija, “Application of Logical Effort on Delay Analysis of 64-bit Static Carry-Look- ahead Adder”, 35th Asilomar Conference on Signals, Systems and Computers, 2001.  Xiao Yan Yu , William W. Walker Application of Logical Effort on Design of Arithmetic Blocks  I Sutherland, b Sproull and D haris, Logical Effort: Designing fast CMOS circuits, San Fransisco, CA: Morgan Kaufmann, 1999  T. sakurai and R Newton, Alpha power moseft and it application to CMOS inverter Delays and Other Formulas, 2 April 1990  RC Interconnect Optimization under the Elmore Delay Model