SlideShare a Scribd company logo
1 of 44
ASIC Design Flow
– An Overview
Presented By:
Mr. Ch. Manikantha
Outline
 Introduction to ASIC Design Flow
 Standard Cells Design
 Details of Design Flow
 Timing Validation
 Post Layout Validation
A Typical ASIC Design Flow
 Ideally, one-shot linear flow
 In practice, iterations needed to fix issues
– Validation failures
– Bad quality of results
– No timing closure
Design
Space
Exploration
RTL
Coding
Logic
Synthesis
Placement Routing
Basics of a ASIC Design Flow
Layout
Placed and routed
network of gates
Standard Cell Design
 Standard-cell libraries are a fixed set of well-characterized logic
blocks
 Basic logic functions that are used several times on the same
integrated circuit
 It will have leaf cells ranging from simple gates to latches and
flip-flops. These can then be used to build arithmetic blocks like
adders and multipliers.
 ASIC designers commonly employ the use of standard cell
libraries due to their robustness and flexibility resulting in quick
turnaround times
 All cells have the same height (with adjacent power and ground)
 Cells tiled into rows
Standard Cell Designs - Libraries
 Library of precharacterized cells
– Delay/Power vs. Rise Time and
Output Capacitance
– Physical Dimension and Pin
Position
Timing
 Delay of cell depends on Rise Time and
Output Load
Capacitive Loads
 Load depends on Input Capacitance and
Interconnect Capacitance
Standard Cell Designs – The Cells
Standard Cell Designs – The
Rows
VDD
VDD
VDD
GND
Basic High Level Design Flow
RTL Simulation
 Behavioral simulation
no delays for processing
 No performance parameters
only functionality is verified
 Hardware description
Not every construct in
VHDL or Verilog can be
implemented in hardware.
This simulation will not show this.
Testbenches
Synthesis - Requirements
 Constraints to drive the synthesis
process
– Clock Period
– IN/OUT delays
– Max Area/Power
 Technology library
– Timing view
– Power consumption
– Area Occupation
RTL
Gate Level
Netlist
Synthesis
Tech
Lib
Constraints
Synthesis – Analyze design
 Check the syntax of the HDL files
– Checks if the file is compliant with one of the
supported HDL files (Verilog/VHDL)
 Check the synthesizability of the design
– Reports if some of the non-synthesizable construct
have been used
 Identify the connectivity of the block
– All the ports and their directions are identified
Synthesize - Elaborate
 Identifies all the components inferred in the
RTL
 Map the inferred components to a Generic
Library (GTECH)
 Applies the required optimizzation on the RTL
(ex. Clock Gating)
Synthesis – Elaborate – an example
module simpleadder(ina,inb,out,clk);
input [3:0] ina;
input [3:0] inb;
output [4:0] out;
reg [4:0] out;
input clk;
reg [3:0] internal_a;
reg [3:0] internal_b;
reg [4:0] internal_res;
always @(posedge clk)
begin
internal_a <= ina;
internal_b <= inb;
out <= internal_res;
end
always @(internal_a or internal_b)
begin
internal_res <= internal_a + internal_b;
end
endmodule
Synthesis – Linking And Opcond
Setup
 Set the Operating Condition at which the
synthesis will take place (process voltage and
temperature P.V.T.)
 Select the target library
 Links the design to other pre-characterized
blocks or hard macros
Synthesis – Tech Mapping and
Optimization
 The GTECH components are mapped onto
the technology library
 Logic is minimized
 Implementation of basic building blocks (e.g.
adders) are chosen considering the
area/power/timing budget
Synthesis – Map & Opt an example
Internal_a
Out
internal_b
13-10-2023
Timing Design and Delay Test
 Timing simulation:
 Critical paths are identified by static (vector-less)
timing analysis tools like Primetime (Synopsys).
 Timing or circuit-level simulation using designer-
generated functional vectors verifies the design.
 Layout optimization: Critical path data are used in
placement and routing. Delay parameter extraction,
timing simulation and layout are repeated for iterative
improvement.
 Testing: Some form of at-speed test is necessary.
Critical paths and all gate transition delays are tested.
13-10-2023
Static Timing Analysis
 Finds maximum and minimum delays
between all clocked flip-flops.
Combinational
circuit
Flip-flops
Flip-flops
Flip-flops
13-10-2023
STA example
0
0
0
0
0
0
0
0
A
1
B
3 E
1
F
1
G
2
H
3
J
1
C
1
D
2
13-10-2023
STA example
0
0
0
0
0
0
0
0
A
1
B
3 E
1
F
1
G
2
H
3
J
1
C
1
D
2
8
5
2
1
10
7
4
3
1
13-10-2023
STA example
0
0
0
0
0
0
0
0
A
1
B
3 E
1
F
1
G
2
H
3
J
1
C
1
D
2
8
5
2
1
10
7
4
3
1
13-10-2023
STA example
0
0
0
0
0
0
0
0
A
1
B
3 E
1
F
1
G
2
H
3
J
1
C
1
D
2
4,8
2,2
1,1
4,10
4,7
2,4
3,3
1,1
3,5
Floorplanning
 Inputs:
– Blocks with well-defined
shapes and area
– Blocks with approximated
area and no particular
shape
– Netlist specifying block
connections
 Outputs:
– Locations for all blocks
 Objectives
– Minimize area
– Reduce wirelength
– Maximize routability
– Determine shapes of flexible
blocks
 Constraints
– Shape of each block
– Area of each block
– Pin locations for each block
– Aspect ratio
Placement
 The process of arranging circuit components
on a layout surface
 Inputs: Set of fixed modules, netlist
 Output: Best position for each module based
on various cost functions
 Cost functions include wirelength, wire
routability, performance, I/O pads
Placement
 Good placement
– No congestion
– Shorter wires
– Fewer metal levels
– Smaller delay
– Lower power dissipation
 Bad placement
– Congestion
– Longer wires
– More metal levels
– Higher delay
– Higher power dissipation
Power Planning
Clock Distribution
 Standard digital systems rely on the clock
signal being present everywhere on the chip
at the same time: skew
 Clock signal has to be connected to all flip-
flops: high fan out
 Specialized tools insert multi level buffers (to
drive the load) and balance the timing by
ensuring the same wirelength for all
connection
Clock Distribution
CTS example
CTS example
CTS example
CTS example
CTS example
CTS example
Routing
 Connect the various
standard cells using wires
 Input:
– Cell locations, netlist
 Output:
– Geometric layout of each
net connecting various
standard cells
 Two-step process
– Global routing
– Detailed routing
 Objective
– 100% connectivity of a
system
– Minimize area
– Minimize wirelength
 Constraints
– Number of routing layers
– Design rules
– Timing (delay)
– Crosstalk
– Process variations
Routing Global vs. Detailed
Extraction
 Once the physical design is complete, everything
about the interconnection network is known. It is
possible to extract parasitic capacitance for the
interconnection
– Wire capacitance
– Wire to wire capacitance
– Wire - via resistance
 The extracted information can be used to extract
timing information. It can be stored in special files
(SDF, SPEF) and can be used by circuit simulators.
Optimization
 Parasitics may influence timing severely. It is
possible to make local optimizations to combat
additional capacitance:
– Buffers are added to long connections
– Driver strength of the standard cells is adjusted
– Incremental change of placement
– Critical paths are resynthesized
Design Rule Check
 Every physical layer has
limits that are determined
by the production flow.
These include:
– Minimum spacing
– Minimum width
– Minimum coverage
– Minimum area
Layout Versus Schematic
 The physical layout contains only geometric
information
 The devices (transistors) and interconnections are
extracted.This is the extracted netlist
 The extracted netlist is compared to the initial
netlist that we started with.
 Shorts between layers can be detected in this
stage.
 Very important step, this step tells us that the chip
is good to go.

More Related Content

Similar to ASCIC.ppt

ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI Jayant Suthar
 
design-compiler.pdf
design-compiler.pdfdesign-compiler.pdf
design-compiler.pdfFrangoCamila
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flowijsrd.com
 
Digital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICDigital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICIndira Priyadarshini
 
yeong_wang_resume_Jan_2015
yeong_wang_resume_Jan_2015yeong_wang_resume_Jan_2015
yeong_wang_resume_Jan_2015Yeong Wang
 
Logic synthesis,flootplan&placement
Logic synthesis,flootplan&placementLogic synthesis,flootplan&placement
Logic synthesis,flootplan&placementshaik sharief
 
The CAOS framework: democratize the acceleration of compute intensive applica...
The CAOS framework: democratize the acceleration of compute intensive applica...The CAOS framework: democratize the acceleration of compute intensive applica...
The CAOS framework: democratize the acceleration of compute intensive applica...NECST Lab @ Politecnico di Milano
 
Public Seminar_Final 18112014
Public Seminar_Final 18112014Public Seminar_Final 18112014
Public Seminar_Final 18112014Hossam Hassan
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptrajukolluri
 
The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...NECST Lab @ Politecnico di Milano
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and VerificationDVClub
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC designAishwaryaRavishankar8
 
Sudheer vaddi Resume
Sudheer vaddi ResumeSudheer vaddi Resume
Sudheer vaddi ResumeSudheer Vaddi
 
Lecture20 asic back_end_design
Lecture20 asic back_end_designLecture20 asic back_end_design
Lecture20 asic back_end_designHung Nguyen
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEVLSIUNIVERSE
 

Similar to ASCIC.ppt (20)

Unit-4_ESE.pdf
Unit-4_ESE.pdfUnit-4_ESE.pdf
Unit-4_ESE.pdf
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
 
design-compiler.pdf
design-compiler.pdfdesign-compiler.pdf
design-compiler.pdf
 
Asic
AsicAsic
Asic
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flow
 
Digital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICDigital System Design-Introductio to ASIC
Digital System Design-Introductio to ASIC
 
Major project iii 3
Major project  iii  3Major project  iii  3
Major project iii 3
 
yeong_wang_resume_Jan_2015
yeong_wang_resume_Jan_2015yeong_wang_resume_Jan_2015
yeong_wang_resume_Jan_2015
 
Lect01 flow
Lect01 flowLect01 flow
Lect01 flow
 
Logic synthesis,flootplan&placement
Logic synthesis,flootplan&placementLogic synthesis,flootplan&placement
Logic synthesis,flootplan&placement
 
The CAOS framework: democratize the acceleration of compute intensive applica...
The CAOS framework: democratize the acceleration of compute intensive applica...The CAOS framework: democratize the acceleration of compute intensive applica...
The CAOS framework: democratize the acceleration of compute intensive applica...
 
Public Seminar_Final 18112014
Public Seminar_Final 18112014Public Seminar_Final 18112014
Public Seminar_Final 18112014
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.ppt
 
The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
 
Sudheer vaddi Resume
Sudheer vaddi ResumeSudheer vaddi Resume
Sudheer vaddi Resume
 
Lecture20 asic back_end_design
Lecture20 asic back_end_designLecture20 asic back_end_design
Lecture20 asic back_end_design
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSE
 

More from 8885684828

vlsi-unit-3-ppt.pptx
vlsi-unit-3-ppt.pptxvlsi-unit-3-ppt.pptx
vlsi-unit-3-ppt.pptx8885684828
 
mosfet ppt.pptx
mosfet ppt.pptxmosfet ppt.pptx
mosfet ppt.pptx8885684828
 
denoising.pptx
denoising.pptxdenoising.pptx
denoising.pptx8885684828
 
UNIT-1 VLSID-MREC-ECE-Dr.TM.pptx
UNIT-1 VLSID-MREC-ECE-Dr.TM.pptxUNIT-1 VLSID-MREC-ECE-Dr.TM.pptx
UNIT-1 VLSID-MREC-ECE-Dr.TM.pptx8885684828
 
verilog basics.ppt
verilog basics.pptverilog basics.ppt
verilog basics.ppt8885684828
 
Basic VLSI.ppt
Basic VLSI.pptBasic VLSI.ppt
Basic VLSI.ppt8885684828
 
literature.pptx
literature.pptxliterature.pptx
literature.pptx8885684828
 
water verflow.pdf
water verflow.pdfwater verflow.pdf
water verflow.pdf8885684828
 
PROJECT PPT (1).pptx
PROJECT PPT (1).pptxPROJECT PPT (1).pptx
PROJECT PPT (1).pptx8885684828
 

More from 8885684828 (13)

Module-1.pptx
Module-1.pptxModule-1.pptx
Module-1.pptx
 
Module-4.pdf
Module-4.pdfModule-4.pdf
Module-4.pdf
 
vlsi-unit-3-ppt.pptx
vlsi-unit-3-ppt.pptxvlsi-unit-3-ppt.pptx
vlsi-unit-3-ppt.pptx
 
mosfet ppt.pptx
mosfet ppt.pptxmosfet ppt.pptx
mosfet ppt.pptx
 
denoising.pptx
denoising.pptxdenoising.pptx
denoising.pptx
 
UNIT-1 VLSID-MREC-ECE-Dr.TM.pptx
UNIT-1 VLSID-MREC-ECE-Dr.TM.pptxUNIT-1 VLSID-MREC-ECE-Dr.TM.pptx
UNIT-1 VLSID-MREC-ECE-Dr.TM.pptx
 
verilog basics.ppt
verilog basics.pptverilog basics.ppt
verilog basics.ppt
 
Basic VLSI.ppt
Basic VLSI.pptBasic VLSI.ppt
Basic VLSI.ppt
 
literature.pptx
literature.pptxliterature.pptx
literature.pptx
 
Module-5A.pdf
Module-5A.pdfModule-5A.pdf
Module-5A.pdf
 
LPVLSI.ppt
LPVLSI.pptLPVLSI.ppt
LPVLSI.ppt
 
water verflow.pdf
water verflow.pdfwater verflow.pdf
water verflow.pdf
 
PROJECT PPT (1).pptx
PROJECT PPT (1).pptxPROJECT PPT (1).pptx
PROJECT PPT (1).pptx
 

Recently uploaded

VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .Satyam Kumar
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and usesDevarapalliHaritha
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxk795866
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxvipinkmenon1
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2RajaP95
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 

Recently uploaded (20)

★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and uses
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptx
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptx
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
POWER SYSTEMS-1 Complete notes examples
POWER SYSTEMS-1 Complete notes  examplesPOWER SYSTEMS-1 Complete notes  examples
POWER SYSTEMS-1 Complete notes examples
 

ASCIC.ppt

  • 1. ASIC Design Flow – An Overview Presented By: Mr. Ch. Manikantha
  • 2. Outline  Introduction to ASIC Design Flow  Standard Cells Design  Details of Design Flow  Timing Validation  Post Layout Validation
  • 3. A Typical ASIC Design Flow  Ideally, one-shot linear flow  In practice, iterations needed to fix issues – Validation failures – Bad quality of results – No timing closure Design Space Exploration RTL Coding Logic Synthesis Placement Routing
  • 4. Basics of a ASIC Design Flow Layout Placed and routed network of gates
  • 5. Standard Cell Design  Standard-cell libraries are a fixed set of well-characterized logic blocks  Basic logic functions that are used several times on the same integrated circuit  It will have leaf cells ranging from simple gates to latches and flip-flops. These can then be used to build arithmetic blocks like adders and multipliers.  ASIC designers commonly employ the use of standard cell libraries due to their robustness and flexibility resulting in quick turnaround times  All cells have the same height (with adjacent power and ground)  Cells tiled into rows
  • 6. Standard Cell Designs - Libraries  Library of precharacterized cells – Delay/Power vs. Rise Time and Output Capacitance – Physical Dimension and Pin Position
  • 7. Timing  Delay of cell depends on Rise Time and Output Load
  • 8. Capacitive Loads  Load depends on Input Capacitance and Interconnect Capacitance
  • 9. Standard Cell Designs – The Cells
  • 10. Standard Cell Designs – The Rows VDD VDD VDD GND
  • 11. Basic High Level Design Flow
  • 12. RTL Simulation  Behavioral simulation no delays for processing  No performance parameters only functionality is verified  Hardware description Not every construct in VHDL or Verilog can be implemented in hardware. This simulation will not show this.
  • 14. Synthesis - Requirements  Constraints to drive the synthesis process – Clock Period – IN/OUT delays – Max Area/Power  Technology library – Timing view – Power consumption – Area Occupation RTL Gate Level Netlist Synthesis Tech Lib Constraints
  • 15. Synthesis – Analyze design  Check the syntax of the HDL files – Checks if the file is compliant with one of the supported HDL files (Verilog/VHDL)  Check the synthesizability of the design – Reports if some of the non-synthesizable construct have been used  Identify the connectivity of the block – All the ports and their directions are identified
  • 16. Synthesize - Elaborate  Identifies all the components inferred in the RTL  Map the inferred components to a Generic Library (GTECH)  Applies the required optimizzation on the RTL (ex. Clock Gating)
  • 17. Synthesis – Elaborate – an example module simpleadder(ina,inb,out,clk); input [3:0] ina; input [3:0] inb; output [4:0] out; reg [4:0] out; input clk; reg [3:0] internal_a; reg [3:0] internal_b; reg [4:0] internal_res; always @(posedge clk) begin internal_a <= ina; internal_b <= inb; out <= internal_res; end always @(internal_a or internal_b) begin internal_res <= internal_a + internal_b; end endmodule
  • 18. Synthesis – Linking And Opcond Setup  Set the Operating Condition at which the synthesis will take place (process voltage and temperature P.V.T.)  Select the target library  Links the design to other pre-characterized blocks or hard macros
  • 19. Synthesis – Tech Mapping and Optimization  The GTECH components are mapped onto the technology library  Logic is minimized  Implementation of basic building blocks (e.g. adders) are chosen considering the area/power/timing budget
  • 20. Synthesis – Map & Opt an example Internal_a Out internal_b
  • 21. 13-10-2023 Timing Design and Delay Test  Timing simulation:  Critical paths are identified by static (vector-less) timing analysis tools like Primetime (Synopsys).  Timing or circuit-level simulation using designer- generated functional vectors verifies the design.  Layout optimization: Critical path data are used in placement and routing. Delay parameter extraction, timing simulation and layout are repeated for iterative improvement.  Testing: Some form of at-speed test is necessary. Critical paths and all gate transition delays are tested.
  • 22. 13-10-2023 Static Timing Analysis  Finds maximum and minimum delays between all clocked flip-flops. Combinational circuit Flip-flops Flip-flops Flip-flops
  • 27. Floorplanning  Inputs: – Blocks with well-defined shapes and area – Blocks with approximated area and no particular shape – Netlist specifying block connections  Outputs: – Locations for all blocks  Objectives – Minimize area – Reduce wirelength – Maximize routability – Determine shapes of flexible blocks  Constraints – Shape of each block – Area of each block – Pin locations for each block – Aspect ratio
  • 28. Placement  The process of arranging circuit components on a layout surface  Inputs: Set of fixed modules, netlist  Output: Best position for each module based on various cost functions  Cost functions include wirelength, wire routability, performance, I/O pads
  • 29. Placement  Good placement – No congestion – Shorter wires – Fewer metal levels – Smaller delay – Lower power dissipation  Bad placement – Congestion – Longer wires – More metal levels – Higher delay – Higher power dissipation
  • 31. Clock Distribution  Standard digital systems rely on the clock signal being present everywhere on the chip at the same time: skew  Clock signal has to be connected to all flip- flops: high fan out  Specialized tools insert multi level buffers (to drive the load) and balance the timing by ensuring the same wirelength for all connection
  • 39. Routing  Connect the various standard cells using wires  Input: – Cell locations, netlist  Output: – Geometric layout of each net connecting various standard cells  Two-step process – Global routing – Detailed routing  Objective – 100% connectivity of a system – Minimize area – Minimize wirelength  Constraints – Number of routing layers – Design rules – Timing (delay) – Crosstalk – Process variations
  • 40. Routing Global vs. Detailed
  • 41. Extraction  Once the physical design is complete, everything about the interconnection network is known. It is possible to extract parasitic capacitance for the interconnection – Wire capacitance – Wire to wire capacitance – Wire - via resistance  The extracted information can be used to extract timing information. It can be stored in special files (SDF, SPEF) and can be used by circuit simulators.
  • 42. Optimization  Parasitics may influence timing severely. It is possible to make local optimizations to combat additional capacitance: – Buffers are added to long connections – Driver strength of the standard cells is adjusted – Incremental change of placement – Critical paths are resynthesized
  • 43. Design Rule Check  Every physical layer has limits that are determined by the production flow. These include: – Minimum spacing – Minimum width – Minimum coverage – Minimum area
  • 44. Layout Versus Schematic  The physical layout contains only geometric information  The devices (transistors) and interconnections are extracted.This is the extracted netlist  The extracted netlist is compared to the initial netlist that we started with.  Shorts between layers can be detected in this stage.  Very important step, this step tells us that the chip is good to go.