SlideShare a Scribd company logo
1 of 21
Advanced VLSI
BackEnd Flow of Digital Design
Debdeep Mukhopadhyay
debdeep@vlsi.iitkgp.ernet.in
Advanced VLSI
What is Backend?
• Physical Design:
1. FloorPlanning : Architect’s job
2. Placement : Builder’s job
3. Routing : Electrician’s job
Advanced VLSI
Definitions:
• FloorPlanning :
1. Estimate the sizes and set the initial
relative locations of the various blocks in
our ASIC.
2. Allocate space for clock and power
wiring
3. Decide on the location of the I/O and
power pads.
Advanced VLSI
Definitions (contd.)
Placement
•Defines the location of logic cells.
•Sets space for the inter-connect to
each logic cell.
•Assigns each logic cell to a
position in a row.
Routing
•Makes the connections
between logic cells.
•Global routing : Determines
where the inter-connections
between the placed logic cells and
blocks will be placed.
•Local Routing: Joins the logic
cells with interconnects.
Advanced VLSI
CAD Tools
• Floorplanning:
Goal: Calculate the sizes of all the blocks and assign them locations.
Objectives: Keep the highly connected blocks close.
• Placement:
Goal: Assign the interconnect areas and the location of the logic cells.
Objectives: Minimize the ASIC area and the interconnect density.
• Global Routing:
Goals: Determine the location of all the inter-connects.
Objectives: Minimize the total interconnect area used.
• Detailed Routing:
Goals: Completely route all the interconnect on the chip.
Objectives: Minimize the total interconnect length used.
Advanced VLSI
Our Dear tool : Silicon Ensemble
• LEF: Cell boundaries, pins, routing layer
(metal) spacing and connect rules.
• DEF: Contains netlist information, cell
placement, cell orientation, physical
connectivity.
• GCF: Top-level timing constraints handed
down by the front end designer are handed
to the SE, using PEARL.
Advanced VLSI
The Tutorial
Advanced VLSI
The files required
• Pre-running file:
se.ini- initialization file for SE.
• Create the following directories:
lef, def, verilog (netlist) , gcf.
• Type seultra –m=300 &, opens SE in graphical
mode.
Advanced VLSI
Importing required files
• Import LEF (in the order given):
header.lef, xlitecore.lef, c8d_40m_dio_00.lef
• Import gcf file:
• Import verilog netlist, xlite_core.v,
c8d_40m_dio_00.v, padded_netlist.v
• Import the gcf file as system constraints
file.
• Import the .def file for the floor-planning
Advanced VLSI
Structure of a Die
• A Silicon die is mounted inside a chip package.
• A die consists of a logic core inside a power ring.
• Pad-limited die uses tall and thin pads which
maximises the pads used.
• Special power pads are used for the VDD and
VSS.
• One set of power pads supply one power ring that
supplies power to the I/O pads only: Dirty Power.
• Another set of power pads supply power to the
logic core: Clean Power.
Advanced VLSI
• Dirty Power: Supply large transient
current to the output transistor.
• Avoids injecting noise into the internal
logic circuitry.
• I/O Pads can protect against ESD as it
has special circuit to protect against
very short high voltage pulses.
Advanced VLSI
Design Styles
• PAD limited design: The number of PADS
around the outer edge of the die determines
the die size , not the number of gates.
• Opposite to that we have a core-limited
design.
Advanced VLSI
Concept of clock Tree
Main
Branch
Clock
Pad
Side
Branches
Advanced VLSI
CLK
A1, B1, C1
D1, D2, E1
D3, E2, F1
Clock
Spine
C1 C2 C3
An important result:
The delay through a chain of CMOS gates is minimized when the
ratio between the input capacitance C1 and the load C2 is about 3.
CLOCK DRIVER
Advanced VLSI
Clock and the cells
A1
B1
B2
E1
E2
F1
D3
D1
D2
CLK
Advanced VLSI
• All clocked elements are driven from one
net with a clock spine, skew is caused by
differing interconnect delays and loads
(fanouts ?).
• If the clock driver delay is much larger than
the inter-connect delay, a clock spline
achieves minimum skew but with latency.
• Spread the power dissipation through the
chip.
• Balance the rise and the fall time.
Advanced VLSI
Placement
• Row based ASICS.
• Interconnects run in horizontal and vertical
directions.
• Channel Capacity: Maximum number of
horizontal connections.
• Row Utilization
Advanced VLSI
Goals
• Arrange the logical cells within the flexible
blocks.
• Minimize the critical net delay.
• Chip as dense as possible
• Minimize the power dissipation
• Minimize cross talk
• Very hard : minimize interconnect length,
meet timing requirement for critical length and
minimize the interconnect congestion.
Advanced VLSI
Timing Driven Placements
• Estimate delay for every net in every trial
placement
• We may estimate length but layers and vias
are not known.
• Hence estimate the RC values.
• But still the results are satisfactory.
Advanced VLSI
Routing
• Minimize the interconnect length.
• Maximize the probability that the detailed
router can completely finish the job.
• Minimize the critical path delay.
Advanced VLSI
Conclusion: Our backend flow
1. Loading initial data.
2. Floor-planning
3. I/O Placing
4. Planning the power routing : Adding Power rings , stripes
5. Placing cells
6. Placing the clock tree.
7. Adding filler cells.
8. Power routing : Connect the rings to the follow pins of the cells.
9. Routing ( Global and final routing )
10. Verify Connectivity, geometry and antenna violations.
11. Physical verification (DRC and LVS check using Hercules).
12. Best of luck in the Lab
Thank You

More Related Content

What's hot

Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehtaUsha Mehta
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsM Mei
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
 
Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSISurya Raj
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical designDeiptii Das
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technologyMantra VLSI
 
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...shaotao liu
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENThelloactiva
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI DesignTeam-VLSI-ITMU
 

What's hot (20)

Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptx
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSI
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 
Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
 
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
 
STA.pdf
STA.pdfSTA.pdf
STA.pdf
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
EMIR.pdf
EMIR.pdfEMIR.pdf
EMIR.pdf
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 

Viewers also liked

Front-End Dev for Back-End Developers, That Conference 2014
Front-End Dev for Back-End Developers, That Conference 2014Front-End Dev for Back-End Developers, That Conference 2014
Front-End Dev for Back-End Developers, That Conference 2014Amy Dalrymple
 
Programming basics
Programming basicsProgramming basics
Programming basicsmercerhall
 
Interconnect resistance estimation
Interconnect resistance estimationInterconnect resistance estimation
Interconnect resistance estimationToni Garbel
 
Today's Trending Technologies 2014
Today's Trending Technologies 2014Today's Trending Technologies 2014
Today's Trending Technologies 2014Hazem Torab
 
Lect5stickdiagramlayoutrules 1226994677707873-9
Lect5stickdiagramlayoutrules 1226994677707873-9Lect5stickdiagramlayoutrules 1226994677707873-9
Lect5stickdiagramlayoutrules 1226994677707873-9Arul Kumar
 
Designing and developing mobile web applications with Mockup, Sencha Touch an...
Designing and developing mobile web applications with Mockup, Sencha Touch an...Designing and developing mobile web applications with Mockup, Sencha Touch an...
Designing and developing mobile web applications with Mockup, Sencha Touch an...Matteo Collina
 
How To Combine Back-End 
 & Front-End Testing with BlazeMeter & Sauce Labs
How To Combine Back-End 
 & Front-End Testing with BlazeMeter & Sauce LabsHow To Combine Back-End 
 & Front-End Testing with BlazeMeter & Sauce Labs
How To Combine Back-End 
 & Front-End Testing with BlazeMeter & Sauce LabsSauce Labs
 
Quantum Computers PART 1 & 2 by Prof Lili Saghafi
Quantum Computers  PART 1 & 2 by Prof Lili SaghafiQuantum Computers  PART 1 & 2 by Prof Lili Saghafi
Quantum Computers PART 1 & 2 by Prof Lili SaghafiProfessor Lili Saghafi
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI illpa
 
Lecture 2 ic fabrication processing & wafer preparation
Lecture 2 ic fabrication processing & wafer preparationLecture 2 ic fabrication processing & wafer preparation
Lecture 2 ic fabrication processing & wafer preparationDr. Ghanshyam Singh
 
Wafer manufacturing process
Wafer manufacturing processWafer manufacturing process
Wafer manufacturing processadi mandloi
 

Viewers also liked (13)

Front-End Dev for Back-End Developers, That Conference 2014
Front-End Dev for Back-End Developers, That Conference 2014Front-End Dev for Back-End Developers, That Conference 2014
Front-End Dev for Back-End Developers, That Conference 2014
 
Programming basics
Programming basicsProgramming basics
Programming basics
 
Interconnect resistance estimation
Interconnect resistance estimationInterconnect resistance estimation
Interconnect resistance estimation
 
Today's Trending Technologies 2014
Today's Trending Technologies 2014Today's Trending Technologies 2014
Today's Trending Technologies 2014
 
Lect5stickdiagramlayoutrules 1226994677707873-9
Lect5stickdiagramlayoutrules 1226994677707873-9Lect5stickdiagramlayoutrules 1226994677707873-9
Lect5stickdiagramlayoutrules 1226994677707873-9
 
Designing and developing mobile web applications with Mockup, Sencha Touch an...
Designing and developing mobile web applications with Mockup, Sencha Touch an...Designing and developing mobile web applications with Mockup, Sencha Touch an...
Designing and developing mobile web applications with Mockup, Sencha Touch an...
 
How To Combine Back-End 
 & Front-End Testing with BlazeMeter & Sauce Labs
How To Combine Back-End 
 & Front-End Testing with BlazeMeter & Sauce LabsHow To Combine Back-End 
 & Front-End Testing with BlazeMeter & Sauce Labs
How To Combine Back-End 
 & Front-End Testing with BlazeMeter & Sauce Labs
 
Quantum Computers PART 1 & 2 by Prof Lili Saghafi
Quantum Computers  PART 1 & 2 by Prof Lili SaghafiQuantum Computers  PART 1 & 2 by Prof Lili Saghafi
Quantum Computers PART 1 & 2 by Prof Lili Saghafi
 
Vlsi stick daigram (JCE)
Vlsi stick daigram (JCE)Vlsi stick daigram (JCE)
Vlsi stick daigram (JCE)
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
 
Lecture 2 ic fabrication processing & wafer preparation
Lecture 2 ic fabrication processing & wafer preparationLecture 2 ic fabrication processing & wafer preparation
Lecture 2 ic fabrication processing & wafer preparation
 
Wafer manufacturing process
Wafer manufacturing processWafer manufacturing process
Wafer manufacturing process
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
 

Similar to Back end[1] debdeep

Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : IntroductionUsha Mehta
 
Accurate Synchronization of EtherCAT Systems Using Distributed Clocks
Accurate Synchronization of EtherCAT Systems Using Distributed ClocksAccurate Synchronization of EtherCAT Systems Using Distributed Clocks
Accurate Synchronization of EtherCAT Systems Using Distributed ClocksDesign World
 
ITN3052_04_Switched_Networks.pdf
ITN3052_04_Switched_Networks.pdfITN3052_04_Switched_Networks.pdf
ITN3052_04_Switched_Networks.pdfssuser2d7235
 
campus_design_eng1.ppt
campus_design_eng1.pptcampus_design_eng1.ppt
campus_design_eng1.pptchali100
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptindrajeetPatel22
 
Campas network design overview
Campas network design overviewCampas network design overview
Campas network design overviewAnushka Hapuhinna
 
Warehouse scale computer
Warehouse scale computerWarehouse scale computer
Warehouse scale computerHassan A-j
 
Predstavitev osnov klasičnih pomnilniških sistemov - disk
Predstavitev osnov klasičnih pomnilniških sistemov - diskPredstavitev osnov klasičnih pomnilniških sistemov - disk
Predstavitev osnov klasičnih pomnilniških sistemov - diskKlemen Bacak
 
Dcnintroduction 141010054657-conversion-gate01
Dcnintroduction 141010054657-conversion-gate01Dcnintroduction 141010054657-conversion-gate01
Dcnintroduction 141010054657-conversion-gate01yibeltal yideg
 
Introduction to Data Center Network Architecture
Introduction to Data Center Network ArchitectureIntroduction to Data Center Network Architecture
Introduction to Data Center Network ArchitectureAnkita Mahajan
 
Virt july-2013-meetup
Virt july-2013-meetupVirt july-2013-meetup
Virt july-2013-meetupnvirters
 
Lecture20 asic back_end_design
Lecture20 asic back_end_designLecture20 asic back_end_design
Lecture20 asic back_end_designHung Nguyen
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designDr. Ravi Mishra
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptrajukolluri
 
Ccna exploration 3 lan switching and wireless
Ccna exploration 3 lan switching and wirelessCcna exploration 3 lan switching and wireless
Ccna exploration 3 lan switching and wirelesskratos2424
 
VLSI Physical Design Automation.ppt
VLSI Physical Design Automation.pptVLSI Physical Design Automation.ppt
VLSI Physical Design Automation.pptRichikDey5
 

Similar to Back end[1] debdeep (20)

Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
Accurate Synchronization of EtherCAT Systems Using Distributed Clocks
Accurate Synchronization of EtherCAT Systems Using Distributed ClocksAccurate Synchronization of EtherCAT Systems Using Distributed Clocks
Accurate Synchronization of EtherCAT Systems Using Distributed Clocks
 
Chapter 10.pptx
Chapter 10.pptxChapter 10.pptx
Chapter 10.pptx
 
ITN3052_04_Switched_Networks.pdf
ITN3052_04_Switched_Networks.pdfITN3052_04_Switched_Networks.pdf
ITN3052_04_Switched_Networks.pdf
 
PD_Tcl_Examples
PD_Tcl_ExamplesPD_Tcl_Examples
PD_Tcl_Examples
 
campus_design_eng1.ppt
campus_design_eng1.pptcampus_design_eng1.ppt
campus_design_eng1.ppt
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.ppt
 
Campas network design overview
Campas network design overviewCampas network design overview
Campas network design overview
 
Warehouse scale computer
Warehouse scale computerWarehouse scale computer
Warehouse scale computer
 
Predstavitev osnov klasičnih pomnilniških sistemov - disk
Predstavitev osnov klasičnih pomnilniških sistemov - diskPredstavitev osnov klasičnih pomnilniških sistemov - disk
Predstavitev osnov klasičnih pomnilniških sistemov - disk
 
Dcnintroduction 141010054657-conversion-gate01
Dcnintroduction 141010054657-conversion-gate01Dcnintroduction 141010054657-conversion-gate01
Dcnintroduction 141010054657-conversion-gate01
 
Introduction to Data Center Network Architecture
Introduction to Data Center Network ArchitectureIntroduction to Data Center Network Architecture
Introduction to Data Center Network Architecture
 
Virt july-2013-meetup
Virt july-2013-meetupVirt july-2013-meetup
Virt july-2013-meetup
 
Lecture20 asic back_end_design
Lecture20 asic back_end_designLecture20 asic back_end_design
Lecture20 asic back_end_design
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI design
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.ppt
 
VLSI- Unit I
VLSI- Unit IVLSI- Unit I
VLSI- Unit I
 
Ccna exploration 3 lan switching and wireless
Ccna exploration 3 lan switching and wirelessCcna exploration 3 lan switching and wireless
Ccna exploration 3 lan switching and wireless
 
VLSI Physical Design Automation.ppt
VLSI Physical Design Automation.pptVLSI Physical Design Automation.ppt
VLSI Physical Design Automation.ppt
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 

Recently uploaded

Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024BookNet Canada
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitecturePixlogix Infotech
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksSoftradix Technologies
 
Unlocking the Potential of the Cloud for IBM Power Systems
Unlocking the Potential of the Cloud for IBM Power SystemsUnlocking the Potential of the Cloud for IBM Power Systems
Unlocking the Potential of the Cloud for IBM Power SystemsPrecisely
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonetsnaman860154
 
SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024Scott Keck-Warren
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machinePadma Pradeep
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationSafe Software
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking MenDelhi Call girls
 
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | DelhiFULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhisoniya singh
 
Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Neo4j
 
Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Allon Mureinik
 

Recently uploaded (20)

Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC Architecture
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other Frameworks
 
Unlocking the Potential of the Cloud for IBM Power Systems
Unlocking the Potential of the Cloud for IBM Power SystemsUnlocking the Potential of the Cloud for IBM Power Systems
Unlocking the Potential of the Cloud for IBM Power Systems
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonets
 
SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machine
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | DelhiFULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
 
Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024
 
Vulnerability_Management_GRC_by Sohang Sengupta.pptx
Vulnerability_Management_GRC_by Sohang Sengupta.pptxVulnerability_Management_GRC_by Sohang Sengupta.pptx
Vulnerability_Management_GRC_by Sohang Sengupta.pptx
 
Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)
 

Back end[1] debdeep

  • 1. Advanced VLSI BackEnd Flow of Digital Design Debdeep Mukhopadhyay debdeep@vlsi.iitkgp.ernet.in
  • 2. Advanced VLSI What is Backend? • Physical Design: 1. FloorPlanning : Architect’s job 2. Placement : Builder’s job 3. Routing : Electrician’s job
  • 3. Advanced VLSI Definitions: • FloorPlanning : 1. Estimate the sizes and set the initial relative locations of the various blocks in our ASIC. 2. Allocate space for clock and power wiring 3. Decide on the location of the I/O and power pads.
  • 4. Advanced VLSI Definitions (contd.) Placement •Defines the location of logic cells. •Sets space for the inter-connect to each logic cell. •Assigns each logic cell to a position in a row. Routing •Makes the connections between logic cells. •Global routing : Determines where the inter-connections between the placed logic cells and blocks will be placed. •Local Routing: Joins the logic cells with interconnects.
  • 5. Advanced VLSI CAD Tools • Floorplanning: Goal: Calculate the sizes of all the blocks and assign them locations. Objectives: Keep the highly connected blocks close. • Placement: Goal: Assign the interconnect areas and the location of the logic cells. Objectives: Minimize the ASIC area and the interconnect density. • Global Routing: Goals: Determine the location of all the inter-connects. Objectives: Minimize the total interconnect area used. • Detailed Routing: Goals: Completely route all the interconnect on the chip. Objectives: Minimize the total interconnect length used.
  • 6. Advanced VLSI Our Dear tool : Silicon Ensemble • LEF: Cell boundaries, pins, routing layer (metal) spacing and connect rules. • DEF: Contains netlist information, cell placement, cell orientation, physical connectivity. • GCF: Top-level timing constraints handed down by the front end designer are handed to the SE, using PEARL.
  • 8. Advanced VLSI The files required • Pre-running file: se.ini- initialization file for SE. • Create the following directories: lef, def, verilog (netlist) , gcf. • Type seultra –m=300 &, opens SE in graphical mode.
  • 9. Advanced VLSI Importing required files • Import LEF (in the order given): header.lef, xlitecore.lef, c8d_40m_dio_00.lef • Import gcf file: • Import verilog netlist, xlite_core.v, c8d_40m_dio_00.v, padded_netlist.v • Import the gcf file as system constraints file. • Import the .def file for the floor-planning
  • 10. Advanced VLSI Structure of a Die • A Silicon die is mounted inside a chip package. • A die consists of a logic core inside a power ring. • Pad-limited die uses tall and thin pads which maximises the pads used. • Special power pads are used for the VDD and VSS. • One set of power pads supply one power ring that supplies power to the I/O pads only: Dirty Power. • Another set of power pads supply power to the logic core: Clean Power.
  • 11. Advanced VLSI • Dirty Power: Supply large transient current to the output transistor. • Avoids injecting noise into the internal logic circuitry. • I/O Pads can protect against ESD as it has special circuit to protect against very short high voltage pulses.
  • 12. Advanced VLSI Design Styles • PAD limited design: The number of PADS around the outer edge of the die determines the die size , not the number of gates. • Opposite to that we have a core-limited design.
  • 13. Advanced VLSI Concept of clock Tree Main Branch Clock Pad Side Branches
  • 14. Advanced VLSI CLK A1, B1, C1 D1, D2, E1 D3, E2, F1 Clock Spine C1 C2 C3 An important result: The delay through a chain of CMOS gates is minimized when the ratio between the input capacitance C1 and the load C2 is about 3. CLOCK DRIVER
  • 15. Advanced VLSI Clock and the cells A1 B1 B2 E1 E2 F1 D3 D1 D2 CLK
  • 16. Advanced VLSI • All clocked elements are driven from one net with a clock spine, skew is caused by differing interconnect delays and loads (fanouts ?). • If the clock driver delay is much larger than the inter-connect delay, a clock spline achieves minimum skew but with latency. • Spread the power dissipation through the chip. • Balance the rise and the fall time.
  • 17. Advanced VLSI Placement • Row based ASICS. • Interconnects run in horizontal and vertical directions. • Channel Capacity: Maximum number of horizontal connections. • Row Utilization
  • 18. Advanced VLSI Goals • Arrange the logical cells within the flexible blocks. • Minimize the critical net delay. • Chip as dense as possible • Minimize the power dissipation • Minimize cross talk • Very hard : minimize interconnect length, meet timing requirement for critical length and minimize the interconnect congestion.
  • 19. Advanced VLSI Timing Driven Placements • Estimate delay for every net in every trial placement • We may estimate length but layers and vias are not known. • Hence estimate the RC values. • But still the results are satisfactory.
  • 20. Advanced VLSI Routing • Minimize the interconnect length. • Maximize the probability that the detailed router can completely finish the job. • Minimize the critical path delay.
  • 21. Advanced VLSI Conclusion: Our backend flow 1. Loading initial data. 2. Floor-planning 3. I/O Placing 4. Planning the power routing : Adding Power rings , stripes 5. Placing cells 6. Placing the clock tree. 7. Adding filler cells. 8. Power routing : Connect the rings to the follow pins of the cells. 9. Routing ( Global and final routing ) 10. Verify Connectivity, geometry and antenna violations. 11. Physical verification (DRC and LVS check using Hercules). 12. Best of luck in the Lab Thank You