SlideShare a Scribd company logo
6/23/2014 © 2014 ANSYS, Inc. 1 
Sentinel-SSO: Full DDR-Bank 
Power and Signal Integrity 
Design Automation Conference 2014
6/23/2014 © 2014 ANSYS, Inc. 2 
Requirements for I/O DDR SSO Analysis 
Modeling 
– Package and board 
– I/O circuit and layout 
– PI + SI feedback 
Tool 
– Full bank capacity 
– Integrated modeling and 
simulation environment 
– Prototyping and what-if
6/23/2014 © 2014 ANSYS, Inc. 3 
Parallel I/O Design and Technology Trends 
Noise 
 Reduced voltage and supply 
noise margin 
0 
2 
4 
6 
8 
10 
0 
0.5 
1 
1.5 
2 
DDR2 DDR3 DDR4 
% Noise 
Supply 
Supply voltages 
% noise 
Timing 
 Reduced timing margins with 
higher frequency 
3D-IC/Interposers 
 Wide-IO with interposer/3DIC 
for higher performance and 
low power
6/23/2014 © 2014 ANSYS, Inc. 4 
Power Integrity Effects on Timing
6/23/2014 © 2014 ANSYS, Inc. 5 
Power Integrity Effects on Timing 
Low Supply Noise 
Similar delay/slew
6/23/2014 © 2014 ANSYS, Inc. 6 
Power Integrity Effects on Timing 
Moderate Supply Noise 
Varying delay/slew
6/23/2014 © 2014 ANSYS, Inc. 7 
Power Integrity Effects on Timing 
High Supply Noise 
Increased Jitter Impact
6/23/2014 © 2014 ANSYS, Inc. 8 
Sentinel-SSO: SI + PI for High-Speed DDR I/O 
– Complete System Simulation environment 
– GUI based easy setup 
– High Capacity full bank analysis 
– On-chip PDN/SI modeling 
– DDR JEDEC sign-off
6/23/2014 © 2014 ANSYS, Inc. 9 
Grid Weakness Reports 
Chip-Package-System 
SSO Analysis 
SSO Noise on 
Sensitive IP 
Chip-Signal-Model 
CSM 
JEDEC Signoff Reports 
Sentinel-SSO 
IC Data Channel Database 
3D PKG 
Extraction 
Multi-Simulator 
Support 
Chip IO 
Modeling 
Memory IO Model 
Chip Grid 
Modeling 
PI/SI Co-analysis Using Sentinel-SSO
6/23/2014 © 2014 ANSYS, Inc. 10 
Modeling Requirements for SSO Analysis 
– Accurate on-chip grid model 
– I/O models capturing impact of PDN noise 
– Accurate Power and Signal channel model 
– Accurate receiver models 
VRM Terminations 
I/O 
On-chip 
Grid 
Power 
Channel 
Signal 
Channel 
Chip Channel Receiver
6/23/2014 © 2014 ANSYS, Inc. 11 
Power Noise Impact on Signal Integrity 
Coupling in PKG/PCB reduced 
Modeling of Power Noise 
– On-chip PDN 
– Package Impedance 
– Accurate IO modeling 
Eye: with and without Power Noise 
With Power Noise 
Without Power Noise 
Source PG Bump 
Veff noise 
Strobe jitter DQ Jitter 
SNSSO 71mv 43.2ps 99.1ps 
Measured 73mv 42.0ps 92ps 
Accurate Measurement Correlation
6/23/2014 © 2014 ANSYS, Inc. 12 
Sentinel-SSO: I/O Buffer Modeling 
– CIOM: Non-linear device I/O buffer macro-model 
– Spice-level accuracy with full I/O bank capacity 
– Captures impact of P/G noise on signal 
– Load independent 
– Layout and circuit IP encryption
6/23/2014 © 2014 ANSYS, Inc. 13 
Full I/O Bank Capacity 
– 32bit and 64bit simulations common 
– Possible to simulate over 250 instances 
Size Run Time Simulation Time 
1 byte (all ciom) 25min 60ns 
1 byte (all xtor) 34hrs 39min 60ns 
4 bytes (all ciom) 2hrs 60ns 
IO Bank
6/23/2014 © 2014 ANSYS, Inc. 14 
Accurate On-die Modeling 
– Lumped models miss impedance and voltage variation across bank 
– Lumped models have less bandwidth 
– Reduced grid can model thousands of nodes 
Measurement correlated 
Cdie comparison 
Blue – CSM 
Green – meas. biased 
Red – meas. unbiased 
Lumped impedance 
(bottom yellow) 
Distributed impedance 
per instance 
Frequency 
Impedance
6/23/2014 © 2014 ANSYS, Inc. 15 
Signal/PG Coupling in Channel 
– DDR signal routing coupled to custom 
PG routing of PLL in package and board 
– PLL supply noise at metal1 level on chip 
determines circuit behavior 
DDR Bank 
I/O PG Supply PLL 
PLL PG Supply 
Coupling in PKG/PCB reduced 
Runtime reduced from 64hrs to 24min with CIOM 
“System Level PDN Analysis Enhancement Including 
I/O Subsystem Noise Modeling” DAC 2013
6/23/2014 © 2014 ANSYS, Inc. 16 
Accurately Capture Quiet Line Noise 
Noisy 
Quiet 
VSS has noise at chip 
Similar to VDD noise 
Signal supplied by 
PG voltage of driver 
2x Noise 
Asymmetric 
S-parameter pkg models 
cannot model reference-to-reference 
voltage differences! 
Symmetric 
RLCK package models allow for physical 
meaning to be assigned for voltage 
differences between all terminals
6/23/2014 © 2014 ANSYS, Inc. 17 
Sentinel-SSO Modeling Technologies 
Technology Impact Benefits 
Grid Modeling Accuracy 
 Captures on-die PDN noise 
 High bump resolution 
Chip IO Modeling Capacity 
 Efficient behavioral model 
 Captures power noise impact 
 High capacity simulation 
Channel Modeling Accuracy  True signal propagation 
DIE 
I/Os 
Package/Brd
6/23/2014 © 2014 ANSYS, Inc. 18 
Simulation & Reporting 
– Delay, Slew, Eye automatic measurements 
– JEDEC standard reporting 
– User configurable reports
6/23/2014 © 2014 ANSYS, Inc. 19 
Chip Aware System SI Using CSM 
• IP protected model of PHY 
• Model captures on-die PI/SI 
• Light weight behavioral model 
• Enables system level SI analysis 
v 
time 
Ω 
freq 
v 
time 
Power Nets 
Ground Nets 
Signal Nets
6/23/2014 © 2014 ANSYS, Inc. 20 
Summary 
• GUI based setup and simulation 
– Graphical connections and early analysis 
– Tcl commands to support batch mode operation 
• Accurate and efficient modeling 
– CSM, efficient IP neutral model of chip I/O bank 
– S-param conversion and SCB optimization 
• Reporting 
– Find and root cause die grid weaknesses 
– Waveforms, eye-diagrams, JEDEC 
Sentinel 
SSO 
JEDEC 
Reporting 
Prototyping 
Channel 
Optimization 
Model 
Generation

More Related Content

What's hot

BGR
BGRBGR
Analog VLSI Design
Analog VLSI DesignAnalog VLSI Design
Analog VLSI Design
IndiraPriyadarshini30
 
Wireless Channels Capacity
Wireless Channels CapacityWireless Channels Capacity
Wireless Channels Capacity
Oka Danil
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
VLSI SYSTEM Design
 
Si Intro(100413)
Si Intro(100413)Si Intro(100413)
Si Intro(100413)
imsong
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
Deiptii Das
 
MOS transistor 13
MOS transistor 13MOS transistor 13
MOS transistor 13
HIMANSHU DIWAKAR
 
Analog Layout and Process Concern
Analog Layout and Process ConcernAnalog Layout and Process Concern
Analog Layout and Process Concern
asinghsaroj
 
CMOS VLSI design
CMOS VLSI designCMOS VLSI design
CMOS VLSI design
Rajan Kumar
 
Design your career in VLSI
Design your career in VLSIDesign your career in VLSI
Design your career in VLSI
M. Raja Reddy
 
Introduction to VLSI
Introduction to VLSIIntroduction to VLSI
Introduction to VLSI
Shams Tabrej
 
Second order effects
Second order effectsSecond order effects
Second order effects
PRAVEEN KUMAR CHITLURI
 
45nm transistor properties
45nm transistor properties45nm transistor properties
45nm transistor properties
Deiptii Das
 
MOSFET and Short channel effects
MOSFET and Short channel effectsMOSFET and Short channel effects
MOSFET and Short channel effects
Lee Rather
 
WPE
WPEWPE
Mimo
MimoMimo
Mimo
Virak Sou
 
Physical design
Physical design Physical design
Physical design
Mantra VLSI
 
Ofdma
OfdmaOfdma
Introduction to FinFET
Introduction to FinFETIntroduction to FinFET
Introduction to FinFET
ManishKenchi
 
Introduction to FINFET, Details of FinFET
Introduction to FINFET, Details of FinFETIntroduction to FINFET, Details of FinFET
Introduction to FINFET, Details of FinFET
Justin George
 

What's hot (20)

BGR
BGRBGR
BGR
 
Analog VLSI Design
Analog VLSI DesignAnalog VLSI Design
Analog VLSI Design
 
Wireless Channels Capacity
Wireless Channels CapacityWireless Channels Capacity
Wireless Channels Capacity
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Si Intro(100413)
Si Intro(100413)Si Intro(100413)
Si Intro(100413)
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 
MOS transistor 13
MOS transistor 13MOS transistor 13
MOS transistor 13
 
Analog Layout and Process Concern
Analog Layout and Process ConcernAnalog Layout and Process Concern
Analog Layout and Process Concern
 
CMOS VLSI design
CMOS VLSI designCMOS VLSI design
CMOS VLSI design
 
Design your career in VLSI
Design your career in VLSIDesign your career in VLSI
Design your career in VLSI
 
Introduction to VLSI
Introduction to VLSIIntroduction to VLSI
Introduction to VLSI
 
Second order effects
Second order effectsSecond order effects
Second order effects
 
45nm transistor properties
45nm transistor properties45nm transistor properties
45nm transistor properties
 
MOSFET and Short channel effects
MOSFET and Short channel effectsMOSFET and Short channel effects
MOSFET and Short channel effects
 
WPE
WPEWPE
WPE
 
Mimo
MimoMimo
Mimo
 
Physical design
Physical design Physical design
Physical design
 
Ofdma
OfdmaOfdma
Ofdma
 
Introduction to FinFET
Introduction to FinFETIntroduction to FinFET
Introduction to FinFET
 
Introduction to FINFET, Details of FinFET
Introduction to FINFET, Details of FinFETIntroduction to FINFET, Details of FinFET
Introduction to FINFET, Details of FinFET
 

Viewers also liked

Molex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using AnsysMolex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using Ansys
Ansys
 
TechShanghai2016 - 电源完整性测量测试方案
TechShanghai2016 - 电源完整性测量测试方案TechShanghai2016 - 电源完整性测量测试方案
TechShanghai2016 - 电源完整性测量测试方案
Hardway Hou
 
Signal Integrity (SI glitch)
Signal Integrity (SI glitch)Signal Integrity (SI glitch)
Signal Integrity (SI glitch)
kunal ghosh (vlsisystemdesign.com)
 
Zuken - Improve pcb quality and cost with concurrent power integrity analysis...
Zuken - Improve pcb quality and cost with concurrent power integrity analysis...Zuken - Improve pcb quality and cost with concurrent power integrity analysis...
Zuken - Improve pcb quality and cost with concurrent power integrity analysis...
Zuken
 
Fcamp may2010-tech2-fpga high speed io trends-alteraTrends & Challenges in De...
Fcamp may2010-tech2-fpga high speed io trends-alteraTrends & Challenges in De...Fcamp may2010-tech2-fpga high speed io trends-alteraTrends & Challenges in De...
Fcamp may2010-tech2-fpga high speed io trends-alteraTrends & Challenges in De...
FPGA Central
 
Signal Integrity Asif
Signal Integrity AsifSignal Integrity Asif
Signal Integrity Asif
Mohammed Asif
 
High speed-pcb-board-design-and-analysis
High speed-pcb-board-design-and-analysis High speed-pcb-board-design-and-analysis
High speed-pcb-board-design-and-analysis
Pantech ProLabs India Pvt Ltd
 

Viewers also liked (7)

Molex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using AnsysMolex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using Ansys
 
TechShanghai2016 - 电源完整性测量测试方案
TechShanghai2016 - 电源完整性测量测试方案TechShanghai2016 - 电源完整性测量测试方案
TechShanghai2016 - 电源完整性测量测试方案
 
Signal Integrity (SI glitch)
Signal Integrity (SI glitch)Signal Integrity (SI glitch)
Signal Integrity (SI glitch)
 
Zuken - Improve pcb quality and cost with concurrent power integrity analysis...
Zuken - Improve pcb quality and cost with concurrent power integrity analysis...Zuken - Improve pcb quality and cost with concurrent power integrity analysis...
Zuken - Improve pcb quality and cost with concurrent power integrity analysis...
 
Fcamp may2010-tech2-fpga high speed io trends-alteraTrends & Challenges in De...
Fcamp may2010-tech2-fpga high speed io trends-alteraTrends & Challenges in De...Fcamp may2010-tech2-fpga high speed io trends-alteraTrends & Challenges in De...
Fcamp may2010-tech2-fpga high speed io trends-alteraTrends & Challenges in De...
 
Signal Integrity Asif
Signal Integrity AsifSignal Integrity Asif
Signal Integrity Asif
 
High speed-pcb-board-design-and-analysis
High speed-pcb-board-design-and-analysis High speed-pcb-board-design-and-analysis
High speed-pcb-board-design-and-analysis
 

Similar to Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Coupling

What's New in ANSYS RedHawk 2014
What's New in ANSYS RedHawk 2014What's New in ANSYS RedHawk 2014
What's New in ANSYS RedHawk 2014
Ansys
 
Achieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAchieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based Designs
Ansys
 
hyperlynx_compress.pdf
hyperlynx_compress.pdfhyperlynx_compress.pdf
hyperlynx_compress.pdf
raimonribal
 
National instruments track e
National instruments   track eNational instruments   track e
National instruments track e
Alona Gradman
 
Slow dancing pdn on memory-controller-packages may-10th_2012_hf_last
Slow dancing pdn on memory-controller-packages may-10th_2012_hf_lastSlow dancing pdn on memory-controller-packages may-10th_2012_hf_last
Slow dancing pdn on memory-controller-packages may-10th_2012_hf_last
Hany Fahmy
 
MM-4085, Designing a game audio engine for HSA, by Laurent Betbeder
MM-4085, Designing a game audio engine for HSA, by Laurent BetbederMM-4085, Designing a game audio engine for HSA, by Laurent Betbeder
MM-4085, Designing a game audio engine for HSA, by Laurent Betbeder
AMD Developer Central
 
Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions
Arvind Kumar
 
Pactron Ate Introduction
Pactron Ate IntroductionPactron Ate Introduction
Pactron Ate Introduction
pactronman238
 
MIPI DevCon 2016: Image Sensor and Display Connectivity Disruption
MIPI DevCon 2016: Image Sensor and Display Connectivity DisruptionMIPI DevCon 2016: Image Sensor and Display Connectivity Disruption
MIPI DevCon 2016: Image Sensor and Display Connectivity Disruption
MIPI Alliance
 
Extending soundcard
Extending soundcardExtending soundcard
Extending soundcard
dimitar53
 
Ip so c-30sept2010
Ip so c-30sept2010Ip so c-30sept2010
Ip so c-30sept2010
Design And Reuse
 
Lecture14
Lecture14Lecture14
Lecture14
mistercteam
 
Wireless Sensor Network
Wireless Sensor NetworkWireless Sensor Network
Wireless Sensor Network
Shubhi Singh chauhan
 
Decoupling Compute from Memory, Storage and IO with OMI
Decoupling Compute from Memory, Storage and IO with OMIDecoupling Compute from Memory, Storage and IO with OMI
Decoupling Compute from Memory, Storage and IO with OMI
Allan Cantle
 
Ics21 workshop decoupling compute from memory, storage & io with omi - ...
Ics21 workshop   decoupling compute from memory, storage & io with omi - ...Ics21 workshop   decoupling compute from memory, storage & io with omi - ...
Ics21 workshop decoupling compute from memory, storage & io with omi - ...
Vaibhav R
 
dokumen.tips_3d-ics-advances-in-the-industry-ectc-ieee-electronic-thursday-pm...
dokumen.tips_3d-ics-advances-in-the-industry-ectc-ieee-electronic-thursday-pm...dokumen.tips_3d-ics-advances-in-the-industry-ectc-ieee-electronic-thursday-pm...
dokumen.tips_3d-ics-advances-in-the-industry-ectc-ieee-electronic-thursday-pm...
YogeshAM4
 
A High Accuracy, Low Power, Reproducible Temperature Telemetry System
A High Accuracy, Low Power, Reproducible Temperature Telemetry SystemA High Accuracy, Low Power, Reproducible Temperature Telemetry System
A High Accuracy, Low Power, Reproducible Temperature Telemetry System
ijsrd.com
 
VLSI IEEE Transaction 2018 - IEEE Transaction
VLSI IEEE Transaction 2018 - IEEE Transaction VLSI IEEE Transaction 2018 - IEEE Transaction
VLSI IEEE Transaction 2018 - IEEE Transaction
Nxfee Innovation
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overview
Nabil Chouba
 
Very Large Scale Integrated Circuits VLSI Overview
Very Large Scale Integrated Circuits VLSI OverviewVery Large Scale Integrated Circuits VLSI Overview
Very Large Scale Integrated Circuits VLSI Overview
Engr. Bilal Sarwar
 

Similar to Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Coupling (20)

What's New in ANSYS RedHawk 2014
What's New in ANSYS RedHawk 2014What's New in ANSYS RedHawk 2014
What's New in ANSYS RedHawk 2014
 
Achieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAchieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based Designs
 
hyperlynx_compress.pdf
hyperlynx_compress.pdfhyperlynx_compress.pdf
hyperlynx_compress.pdf
 
National instruments track e
National instruments   track eNational instruments   track e
National instruments track e
 
Slow dancing pdn on memory-controller-packages may-10th_2012_hf_last
Slow dancing pdn on memory-controller-packages may-10th_2012_hf_lastSlow dancing pdn on memory-controller-packages may-10th_2012_hf_last
Slow dancing pdn on memory-controller-packages may-10th_2012_hf_last
 
MM-4085, Designing a game audio engine for HSA, by Laurent Betbeder
MM-4085, Designing a game audio engine for HSA, by Laurent BetbederMM-4085, Designing a game audio engine for HSA, by Laurent Betbeder
MM-4085, Designing a game audio engine for HSA, by Laurent Betbeder
 
Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions
 
Pactron Ate Introduction
Pactron Ate IntroductionPactron Ate Introduction
Pactron Ate Introduction
 
MIPI DevCon 2016: Image Sensor and Display Connectivity Disruption
MIPI DevCon 2016: Image Sensor and Display Connectivity DisruptionMIPI DevCon 2016: Image Sensor and Display Connectivity Disruption
MIPI DevCon 2016: Image Sensor and Display Connectivity Disruption
 
Extending soundcard
Extending soundcardExtending soundcard
Extending soundcard
 
Ip so c-30sept2010
Ip so c-30sept2010Ip so c-30sept2010
Ip so c-30sept2010
 
Lecture14
Lecture14Lecture14
Lecture14
 
Wireless Sensor Network
Wireless Sensor NetworkWireless Sensor Network
Wireless Sensor Network
 
Decoupling Compute from Memory, Storage and IO with OMI
Decoupling Compute from Memory, Storage and IO with OMIDecoupling Compute from Memory, Storage and IO with OMI
Decoupling Compute from Memory, Storage and IO with OMI
 
Ics21 workshop decoupling compute from memory, storage & io with omi - ...
Ics21 workshop   decoupling compute from memory, storage & io with omi - ...Ics21 workshop   decoupling compute from memory, storage & io with omi - ...
Ics21 workshop decoupling compute from memory, storage & io with omi - ...
 
dokumen.tips_3d-ics-advances-in-the-industry-ectc-ieee-electronic-thursday-pm...
dokumen.tips_3d-ics-advances-in-the-industry-ectc-ieee-electronic-thursday-pm...dokumen.tips_3d-ics-advances-in-the-industry-ectc-ieee-electronic-thursday-pm...
dokumen.tips_3d-ics-advances-in-the-industry-ectc-ieee-electronic-thursday-pm...
 
A High Accuracy, Low Power, Reproducible Temperature Telemetry System
A High Accuracy, Low Power, Reproducible Temperature Telemetry SystemA High Accuracy, Low Power, Reproducible Temperature Telemetry System
A High Accuracy, Low Power, Reproducible Temperature Telemetry System
 
VLSI IEEE Transaction 2018 - IEEE Transaction
VLSI IEEE Transaction 2018 - IEEE Transaction VLSI IEEE Transaction 2018 - IEEE Transaction
VLSI IEEE Transaction 2018 - IEEE Transaction
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overview
 
Very Large Scale Integrated Circuits VLSI Overview
Very Large Scale Integrated Circuits VLSI OverviewVery Large Scale Integrated Circuits VLSI Overview
Very Large Scale Integrated Circuits VLSI Overview
 

More from Ansys

Reliability Engineering Services Overview
Reliability Engineering Services OverviewReliability Engineering Services Overview
Reliability Engineering Services Overview
Ansys
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled Simulations
Ansys
 
Mechanical Simulations for Electronic Products
Mechanical Simulations for Electronic ProductsMechanical Simulations for Electronic Products
Mechanical Simulations for Electronic Products
Ansys
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled Simulations
Ansys
 
Automotive Sensor Simulation
Automotive Sensor SimulationAutomotive Sensor Simulation
Automotive Sensor Simulation
Ansys
 
Volvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna PlacementVolvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna Placement
Ansys
 
ANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft VehiclesANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft Vehicles
Ansys
 
Benefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering SimulationBenefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering Simulation
Ansys
 
6 Myths of High-Performance Computing
6 Myths of High-Performance Computing6 Myths of High-Performance Computing
6 Myths of High-Performance Computing
Ansys
 
ANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone FailureANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone Failure
Ansys
 
ANSYS Corporate Overview
ANSYS Corporate OverviewANSYS Corporate Overview
ANSYS Corporate Overview
Ansys
 
Methods for Achieving RTL to Gate Power Consistency
Methods for Achieving RTL to Gate Power ConsistencyMethods for Achieving RTL to Gate Power Consistency
Methods for Achieving RTL to Gate Power Consistency
Ansys
 
Thermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based DesignsThermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based Designs
Ansys
 
How to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderHow to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinder
Ansys
 
PowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power PlatformPowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power Platform
Ansys
 
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate MaterialsModeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Ansys
 
Solving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV ProjectSolving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Ansys
 
ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3
Ansys
 
Advances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD SimulationAdvances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD Simulation
Ansys
 
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Ansys
 

More from Ansys (20)

Reliability Engineering Services Overview
Reliability Engineering Services OverviewReliability Engineering Services Overview
Reliability Engineering Services Overview
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled Simulations
 
Mechanical Simulations for Electronic Products
Mechanical Simulations for Electronic ProductsMechanical Simulations for Electronic Products
Mechanical Simulations for Electronic Products
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled Simulations
 
Automotive Sensor Simulation
Automotive Sensor SimulationAutomotive Sensor Simulation
Automotive Sensor Simulation
 
Volvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna PlacementVolvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna Placement
 
ANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft VehiclesANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft Vehicles
 
Benefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering SimulationBenefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering Simulation
 
6 Myths of High-Performance Computing
6 Myths of High-Performance Computing6 Myths of High-Performance Computing
6 Myths of High-Performance Computing
 
ANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone FailureANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone Failure
 
ANSYS Corporate Overview
ANSYS Corporate OverviewANSYS Corporate Overview
ANSYS Corporate Overview
 
Methods for Achieving RTL to Gate Power Consistency
Methods for Achieving RTL to Gate Power ConsistencyMethods for Achieving RTL to Gate Power Consistency
Methods for Achieving RTL to Gate Power Consistency
 
Thermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based DesignsThermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based Designs
 
How to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderHow to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinder
 
PowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power PlatformPowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power Platform
 
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate MaterialsModeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
 
Solving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV ProjectSolving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV Project
 
ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3
 
Advances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD SimulationAdvances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD Simulation
 
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
 

Recently uploaded

哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
insn4465
 
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming PipelinesHarnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Christina Lin
 
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdfBPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
MIGUELANGEL966976
 
Question paper of renewable energy sources
Question paper of renewable energy sourcesQuestion paper of renewable energy sources
Question paper of renewable energy sources
mahammadsalmanmech
 
ML Based Model for NIDS MSc Updated Presentation.v2.pptx
ML Based Model for NIDS MSc Updated Presentation.v2.pptxML Based Model for NIDS MSc Updated Presentation.v2.pptx
ML Based Model for NIDS MSc Updated Presentation.v2.pptx
JamalHussainArman
 
bank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdfbank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdf
Divyam548318
 
spirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptxspirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptx
Madan Karki
 
Wearable antenna for antenna applications
Wearable antenna for antenna applicationsWearable antenna for antenna applications
Wearable antenna for antenna applications
Madhumitha Jayaram
 
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
ihlasbinance2003
 
International Conference on NLP, Artificial Intelligence, Machine Learning an...
International Conference on NLP, Artificial Intelligence, Machine Learning an...International Conference on NLP, Artificial Intelligence, Machine Learning an...
International Conference on NLP, Artificial Intelligence, Machine Learning an...
gerogepatton
 
14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application
SyedAbiiAzazi1
 
Properties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptxProperties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptx
MDSABBIROJJAMANPAYEL
 
basic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdfbasic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdf
NidhalKahouli2
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
thanhdowork
 
DfMAy 2024 - key insights and contributions
DfMAy 2024 - key insights and contributionsDfMAy 2024 - key insights and contributions
DfMAy 2024 - key insights and contributions
gestioneergodomus
 
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
IJECEIAES
 
CSM Cloud Service Management Presentarion
CSM Cloud Service Management PresentarionCSM Cloud Service Management Presentarion
CSM Cloud Service Management Presentarion
rpskprasana
 
Embedded machine learning-based road conditions and driving behavior monitoring
Embedded machine learning-based road conditions and driving behavior monitoringEmbedded machine learning-based road conditions and driving behavior monitoring
Embedded machine learning-based road conditions and driving behavior monitoring
IJECEIAES
 
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student MemberIEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
VICTOR MAESTRE RAMIREZ
 
Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...
IJECEIAES
 

Recently uploaded (20)

哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
 
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming PipelinesHarnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
 
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdfBPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
 
Question paper of renewable energy sources
Question paper of renewable energy sourcesQuestion paper of renewable energy sources
Question paper of renewable energy sources
 
ML Based Model for NIDS MSc Updated Presentation.v2.pptx
ML Based Model for NIDS MSc Updated Presentation.v2.pptxML Based Model for NIDS MSc Updated Presentation.v2.pptx
ML Based Model for NIDS MSc Updated Presentation.v2.pptx
 
bank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdfbank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdf
 
spirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptxspirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptx
 
Wearable antenna for antenna applications
Wearable antenna for antenna applicationsWearable antenna for antenna applications
Wearable antenna for antenna applications
 
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
 
International Conference on NLP, Artificial Intelligence, Machine Learning an...
International Conference on NLP, Artificial Intelligence, Machine Learning an...International Conference on NLP, Artificial Intelligence, Machine Learning an...
International Conference on NLP, Artificial Intelligence, Machine Learning an...
 
14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application
 
Properties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptxProperties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptx
 
basic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdfbasic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdf
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
 
DfMAy 2024 - key insights and contributions
DfMAy 2024 - key insights and contributionsDfMAy 2024 - key insights and contributions
DfMAy 2024 - key insights and contributions
 
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
 
CSM Cloud Service Management Presentarion
CSM Cloud Service Management PresentarionCSM Cloud Service Management Presentarion
CSM Cloud Service Management Presentarion
 
Embedded machine learning-based road conditions and driving behavior monitoring
Embedded machine learning-based road conditions and driving behavior monitoringEmbedded machine learning-based road conditions and driving behavior monitoring
Embedded machine learning-based road conditions and driving behavior monitoring
 
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student MemberIEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
 
Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...
 

Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Coupling

  • 1. 6/23/2014 © 2014 ANSYS, Inc. 1 Sentinel-SSO: Full DDR-Bank Power and Signal Integrity Design Automation Conference 2014
  • 2. 6/23/2014 © 2014 ANSYS, Inc. 2 Requirements for I/O DDR SSO Analysis Modeling – Package and board – I/O circuit and layout – PI + SI feedback Tool – Full bank capacity – Integrated modeling and simulation environment – Prototyping and what-if
  • 3. 6/23/2014 © 2014 ANSYS, Inc. 3 Parallel I/O Design and Technology Trends Noise  Reduced voltage and supply noise margin 0 2 4 6 8 10 0 0.5 1 1.5 2 DDR2 DDR3 DDR4 % Noise Supply Supply voltages % noise Timing  Reduced timing margins with higher frequency 3D-IC/Interposers  Wide-IO with interposer/3DIC for higher performance and low power
  • 4. 6/23/2014 © 2014 ANSYS, Inc. 4 Power Integrity Effects on Timing
  • 5. 6/23/2014 © 2014 ANSYS, Inc. 5 Power Integrity Effects on Timing Low Supply Noise Similar delay/slew
  • 6. 6/23/2014 © 2014 ANSYS, Inc. 6 Power Integrity Effects on Timing Moderate Supply Noise Varying delay/slew
  • 7. 6/23/2014 © 2014 ANSYS, Inc. 7 Power Integrity Effects on Timing High Supply Noise Increased Jitter Impact
  • 8. 6/23/2014 © 2014 ANSYS, Inc. 8 Sentinel-SSO: SI + PI for High-Speed DDR I/O – Complete System Simulation environment – GUI based easy setup – High Capacity full bank analysis – On-chip PDN/SI modeling – DDR JEDEC sign-off
  • 9. 6/23/2014 © 2014 ANSYS, Inc. 9 Grid Weakness Reports Chip-Package-System SSO Analysis SSO Noise on Sensitive IP Chip-Signal-Model CSM JEDEC Signoff Reports Sentinel-SSO IC Data Channel Database 3D PKG Extraction Multi-Simulator Support Chip IO Modeling Memory IO Model Chip Grid Modeling PI/SI Co-analysis Using Sentinel-SSO
  • 10. 6/23/2014 © 2014 ANSYS, Inc. 10 Modeling Requirements for SSO Analysis – Accurate on-chip grid model – I/O models capturing impact of PDN noise – Accurate Power and Signal channel model – Accurate receiver models VRM Terminations I/O On-chip Grid Power Channel Signal Channel Chip Channel Receiver
  • 11. 6/23/2014 © 2014 ANSYS, Inc. 11 Power Noise Impact on Signal Integrity Coupling in PKG/PCB reduced Modeling of Power Noise – On-chip PDN – Package Impedance – Accurate IO modeling Eye: with and without Power Noise With Power Noise Without Power Noise Source PG Bump Veff noise Strobe jitter DQ Jitter SNSSO 71mv 43.2ps 99.1ps Measured 73mv 42.0ps 92ps Accurate Measurement Correlation
  • 12. 6/23/2014 © 2014 ANSYS, Inc. 12 Sentinel-SSO: I/O Buffer Modeling – CIOM: Non-linear device I/O buffer macro-model – Spice-level accuracy with full I/O bank capacity – Captures impact of P/G noise on signal – Load independent – Layout and circuit IP encryption
  • 13. 6/23/2014 © 2014 ANSYS, Inc. 13 Full I/O Bank Capacity – 32bit and 64bit simulations common – Possible to simulate over 250 instances Size Run Time Simulation Time 1 byte (all ciom) 25min 60ns 1 byte (all xtor) 34hrs 39min 60ns 4 bytes (all ciom) 2hrs 60ns IO Bank
  • 14. 6/23/2014 © 2014 ANSYS, Inc. 14 Accurate On-die Modeling – Lumped models miss impedance and voltage variation across bank – Lumped models have less bandwidth – Reduced grid can model thousands of nodes Measurement correlated Cdie comparison Blue – CSM Green – meas. biased Red – meas. unbiased Lumped impedance (bottom yellow) Distributed impedance per instance Frequency Impedance
  • 15. 6/23/2014 © 2014 ANSYS, Inc. 15 Signal/PG Coupling in Channel – DDR signal routing coupled to custom PG routing of PLL in package and board – PLL supply noise at metal1 level on chip determines circuit behavior DDR Bank I/O PG Supply PLL PLL PG Supply Coupling in PKG/PCB reduced Runtime reduced from 64hrs to 24min with CIOM “System Level PDN Analysis Enhancement Including I/O Subsystem Noise Modeling” DAC 2013
  • 16. 6/23/2014 © 2014 ANSYS, Inc. 16 Accurately Capture Quiet Line Noise Noisy Quiet VSS has noise at chip Similar to VDD noise Signal supplied by PG voltage of driver 2x Noise Asymmetric S-parameter pkg models cannot model reference-to-reference voltage differences! Symmetric RLCK package models allow for physical meaning to be assigned for voltage differences between all terminals
  • 17. 6/23/2014 © 2014 ANSYS, Inc. 17 Sentinel-SSO Modeling Technologies Technology Impact Benefits Grid Modeling Accuracy  Captures on-die PDN noise  High bump resolution Chip IO Modeling Capacity  Efficient behavioral model  Captures power noise impact  High capacity simulation Channel Modeling Accuracy  True signal propagation DIE I/Os Package/Brd
  • 18. 6/23/2014 © 2014 ANSYS, Inc. 18 Simulation & Reporting – Delay, Slew, Eye automatic measurements – JEDEC standard reporting – User configurable reports
  • 19. 6/23/2014 © 2014 ANSYS, Inc. 19 Chip Aware System SI Using CSM • IP protected model of PHY • Model captures on-die PI/SI • Light weight behavioral model • Enables system level SI analysis v time Ω freq v time Power Nets Ground Nets Signal Nets
  • 20. 6/23/2014 © 2014 ANSYS, Inc. 20 Summary • GUI based setup and simulation – Graphical connections and early analysis – Tcl commands to support batch mode operation • Accurate and efficient modeling – CSM, efficient IP neutral model of chip I/O bank – S-param conversion and SCB optimization • Reporting – Find and root cause die grid weaknesses – Waveforms, eye-diagrams, JEDEC Sentinel SSO JEDEC Reporting Prototyping Channel Optimization Model Generation