SlideShare a Scribd company logo
1 of 25
High Speed PCB Design and Analysis
®




Agenda


• Today’s High Speed PCB design challenges
• Cadence PCB solution overview
• Demonstration overview
• Summary
• Q&A




2                                            CADENCE DESIGN SYSTEMS, INC.
®




MARKET CONVERGENCE
IS DRIVING DESIGN CONVERGENCE

     MARKET CONVERGENCE                          DESIGN CONVERGENCE


                Communications
                                              Hardware               Software
    Computing




                                 Multimedia




                                                Digital              Analog



                                                    IC               Board
                  Consumer




3                                                          CADENCE DESIGN SYSTEMS, INC.
®




                            High Speed Design Challenges                                                    IC              Board
         1




                                                          • System timing
Clock                                          4
Driver

                                           Setup
                                                   Hold
             2   Tco




                   D0
                        3
                            Flight Time
                                             D0
                                                            – What is the maximum data rate for reliable data transfer?
                                                          • Waveform integrity
                   D1                        D1
                   D2                        D2


             Driving                       Receiving


                 D0           D1          D2
                                                            – Do signals meet applicable electrical requirements?

                                                          • Crosstalk
                                                            – Do the signals interfere with each other?

                                                          • Power and/or ground plane stability
                                                            – Are power voltages at the ICs sufficiently controlled?
                                                          • EMI (differential and common mode)
                                                            – Are critical signals radiating too much energy?
                                                            – Are power planes producing common-mode radiation?


         4                                                                                            CADENCE DESIGN SYSTEMS, INC.
®




PCB System Design Flow and Products
                Communications                                                   Functional
                                                                                  Functional          NC Sim
                                                                                 Verification
                                                                                 Verification       PSpice/AWB
    Computing




                                                                                                  SPECCTRAQuest C
                                   Multimedia
                                                                                 Exploration




                                                PCB Design Infrastructure
                                                                                                                            O
                                                                            C    Design Entry S     Capture CIS             N
                                                                            O                 I     Concept HDL             S
                                                                            N                                               T
                                                                                Floorplanning                               R
                                                                            S                 A                             A
                                                                                                  SPECCTRAQuest
                                                                            T                 N                             I
                     Consumer                                               R                 A                             N
                                                                            A     High-Speed L         Allegro              T
                                                                            I    PCB Layout Y        SPECCTRA
                                                                                                                            M
                IC              Board                                       N                 S                             A
          Digital               Analog                                      T                 I   SPECCTRAQuest             N
                                                                            S                 S                             A
                                                                                      IC                                    G
                                                                                                                            E
                                                                                  Packaging       Advanced Package          R
                                                                                                      Designer

5                                                                                                  CADENCE DESIGN SYSTEMS, INC.
®




                                                                                                          IC              Board
PCB System Design Flow and Products
                Communications                                                   Functional
                                                                                  Functional          NC Sim
                                                                                 Verification
                                                                                 Verification       PSpice/AWB
    Computing




                                                                                                  SPECCTRAQuest C
                                   Multimedia
                                                                                 Exploration




                                                PCB Design Infrastructure
                                                                                                                             O
                                                                            C    Design Entry S     Capture CIS              N
                                                                            O                 I     Concept HDL              S
                                                                            N                                                T
                                                                                Floorplanning                                R
                                                                            S                 A                              A
                                                                                                  SPECCTRAQuest
                                                                            T                 N                              I
                     Consumer                                               R                 A                              N
                                                                            A     High-Speed L         Allegro               T
                                                                            I    PCB Layout Y        SPECCTRA
                                                                                                                             M
                IC              Board                                       N                 S                              A
          Digital               Analog                                      T                 I   SPECCTRAQuest              N
                                                                            S                 S                              A
                                                                                      IC                                     G
                                                                                                                             E
                                                                                  Packaging       Advanced Package           R
                                                                                                      Designer

6                                                                                                   CADENCE DESIGN SYSTEMS, INC.
®



SPECCTRAQuest products today



                         PCB Systems           IC-Packaging
                             Model Management Environment

Power Integrity (PI)    SPECCTRAQuest
                         Power Integrity

                        SPECCTRAQuest  SPECCTRAQuest
                           SI Expert  IC Packaging (SQIC)
Signal Integrity (SI)
                                  SPECCTRAQuest
                                   Signal Explorer

                                     An environment for
                           creation, verification and management
                                    of all types of models


7                                                         CADENCE DESIGN SYSTEMS, INC.
®




                                                                        IC            Board
Introducing the Design Flow

• High speed design issues must be managed throughout the PCB
  design process



                        Model          Pre-Route                     Post Route
    Simulation       Development      Sol’n-Space                     Analysis
                     & Verification     Analysis                     Verification

                                             Topology      Constraint
            Layout                            Entry &       Driven
                                           Floorplanning    Layout




8                                                               CADENCE DESIGN SYSTEMS, INC.
®




                                                                         IC              Board

    SPECCTRAQuest in the PCB Design flow

                                                                  Physical Layout
                                                                  Physical Layout
                Exploration
                Exploration      Design/Implementation
                                 Design/Implementation   FP
                                                         FP
                                                                  Place
                                                                  Place     Route
                                                                            Route
                               Simulation
                               Simulation                     Verification
                                                              Verification

     Design Specs


                    SQ-SigXP
                    SQ-SigXP      Concept HDL Expert
                                  Concept HDL Expert     SQ
                                                         SQ      Allegro Expert
                                                                 Allegro Expert
                      CM
                      CM                    CM
                                            CM           CM
                                                         CM             CM
                                                                        CM
       I/O
      models                                      SPECCTRAQuest
                                                  SPECCTRAQuest
                                                         CM
                                                         CM
       • Model Verification                          SPECCTRA
       • Topology explorations
       • Rules development                   • Timing driven floorplanning
                                             • Post placement verification
9                                            • Post route verification
                                                                   CADENCE DESIGN SYSTEMS, INC.
®




     Constraint Driven Layout
                      • Design rule violations during
                        interactive routing are identified
                        in real-time
                      • Autorouter follows design rules -
                        powerful integration with
                        SPECCTRA!
                      • Because solution space analysis
                        has defined a set of conditions
                        under which the nets are known
                        to work, chance of first-pass
                        success is high.
                          – Nets can be ripped up and
                            rerouted, as long as they still
                            adhere to the design rules


10                                    CADENCE DESIGN SYSTEMS, INC.
®


         PSD 14.0 Constraint Manager




     • Common, powerful environment for constraint entry / editing / management
       and verification
     • Single mechanism for managing constraints throughout the design process

11                                                            CADENCE DESIGN SYSTEMS, INC.
®




Constraint Manager – Key Features


                         • Spreadsheet-based graphical
                           interface
                             – No cryptic formats or
                               cumbersome updating
                         • Provides unsurpassed Integration
                           across the entire design flow
                             – Consistent Front to Back solution
                             – No translations with static
                               constraint data
                             – Directly integrated with
                               schematic and PCB databases
                             – Analysis engines can update
                               spreadsheet data interactively

12                                            CADENCE DESIGN SYSTEMS, INC.
®




SPECCTRAQuest Power Integrity
  • Innovative technology developed and proven by Sun
    Microsystems, now commercialized by Cadence Design
    Systems, Inc. to address Power Delivery issues in high-speed
    PCB System Designs.

  • A design tool / methodology used to design and optimize the
    frequency-dependent characteristics of Power Delivery
    Systems in high-speed system designs

  • An integrated solution to allow many quick iterations of
    “change-simulate-analyze”

  • Introduced in PSD 14.1 release

                                                        CADENCE DESIGN SYSTEMS, INC.
®




  Power Delivery Requirements Trend
         Year   Voltage    Power    Current Frequency Ztarget
                (Volts)   (Watts)   (Amps)    (MHz) (m-Ohms)
         1990      5         5         1        16     250
         1993     3.3        10        3        66      54
         1996     2.5        30        12      200      10
         1999     1.8        90        50      600     1.8
         2002     1.2       180       150      1200    0.4

• Power dissipation and longer battery life fueling decreasing chip
  power supply voltages
  – Maximum allowable supply ripple decreases accordingly
• SoC, SiP fueling trend towards devices with large number of
  devices
  – The instantaneous switching current required is enormous
• The maximum acceptable power supply ripple voltage determines
  the target impedance which must be maintained across the PCB

                                                                CADENCE DESIGN SYSTEMS, INC.
®




Power Delivery System Design Challenges
     • Power supply droop
       – Alters system timing and can cause Setup failures
       – Can cause sampling errors that results in a system crash


     • Unreliable power delivery system design can cause increased
       common-mode EMI preventing product shipment due to
       compliance problems


     • Power delivery system impedance is frequency-dependent
       – Must be controlled for all frequency range of all transient currents

                                          Increases Development Costs and
                                           Increases Development Costs and
                                          Time to Market is LOST!
                                           Time to Market is LOST!
15                                                               CADENCE DESIGN SYSTEMS, INC.
®




 Power Delivery System Design -
 How it is done today

     • Standalone analysis tools
       – Design data translation is left up to the user
       – Changes to the design resulting from simulation is manual
     • Use Time Domain simulation
       – Power delivery system impedance is frequency-dependent!
       – With only time domain simulation, it is like searching for needle in a
         haystack
     • Over design - add more de-coupling capacitors than necessary
       – Expensive solution that may not work




16                                                                CADENCE DESIGN SYSTEMS, INC.
®




The Cadence approach

 • Allow users to determine the needs of the power delivery system
     – Target impedance
     – Decoupling capacitor requirements


 • Provide frequency domain analysis to find problem areas


 • Provide an integrated PCB design editor to optimize capacitor
   placement

                                   Develop reliable power delivery system
                                   Develop reliable power delivery system
                                   while shortening design cycle time
                                   while shortening design cycle time


17                                                        CADENCE DESIGN SYSTEMS, INC.
Introducing …                                                                              ®




SPECCTRAQuest Model Integrity
(in v14.2)

                          PCB Systems          IC-Packaging

Power Integrity (PI)    SPECCTRAQuest
                         Power Integrity

                        SPECCTRAQuest  SPECCTRAQuest
                           SI Expert  IC Packaging (SQIC)
Signal Integrity (SI)
                                  SPECCTRAQuest
                                   Signal Explorer

Model Integrity (MI)              SPECCTRAQuest
    (in v14.2)                       Model Integrity for
                                     An environment
                           creation, verification and management
                                   of all types of models


18                                                          CADENCE DESIGN SYSTEMS, INC.
®




SQ Model Integrity
• Family of products that offers model creation, manipulation and
  verification environment
     – First release (PSD release 14.2) will offer the basic creation/editing and
       sanity check environment for IO buffer models for following formats
        – Cadence (DML)
        – Quad [translator only]
        – IBIS 3.2 [.ibs, .pkg, .ebd files]
     – Future releases will offer advanced options that will provide verification
       environment and handle different kinds of models
        – Capacitor models for use with SPECCTRAQuest Power Integrity
        – S-Parameters
        – IC Package models
        – Connector/FPGA models
        – Link with PCB Librarian Expert series

19                                                                  CADENCE DESIGN SYSTEMS, INC.
®




SPECCTRAQuest Model Integrity




20                              CADENCE DESIGN SYSTEMS, INC.
®




Demonstration Overview
 • Model development and validation using SPECCTRAQuest Model
   Integrity

 • Constraint Development using SPECCTRAQuest SigXP


 • Topology development using SPECCTRAQuest Floorplanner


 • Constraints verification throughout the process


 • System level verification using Design Link capability


 • Power Integrity

21                                                          CADENCE DESIGN SYSTEMS, INC.
®




Brief Description - SPECCTRAQuest 14.2

• SQ/Allegro, SQIC/APD UI Synchronization
 Allow users to switch between SQ & Allegro and SQIC & APD plus
 following commands to be changed in SQ
     – Display > Element to replace Info > Item
     – Logic > Net Schedule to replace Topology > Edit Pin Order
     – Place > Manually to replace Floorplan > Component Place




23                                                                 CADENCE DESIGN SYSTEMS, INC.
®




Brief Description –
SPECCTRAQuest SI Expert 14.2

• Custom measurements
 Custom measurements and Custom Stimulus will be available when
 simulating from the board level through the CM. In prior releases they
 were only available from SigXP


• Differential Signalling improvements
 Extraction and simulation of coupled differential pairs in SQ, SigXP




24                                                       CADENCE DESIGN SYSTEMS, INC.
®




Brief Description - Constraint Manager 14.2

• Concept Database Synchronization
 Synchronizes constraints stored as Schematic properties and
 CM worksheets
• Custom Measurement
 Provides SigXP’s custom measurement capability in CM.
 Ability to store custom measurements and to display
 measurement results in CM [requires SQ SI Expert]

• Cross Probing Improvements
 Cross probing with Allegro to handle segments/ratsnest, pin
 pairs, DRCs and Clines


25                                                      CADENCE DESIGN SYSTEMS, INC.

More Related Content

What's hot

Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design slpinjare
 
Signal Integrity - A Crash Course [R Lott]
Signal Integrity - A Crash Course [R Lott]Signal Integrity - A Crash Course [R Lott]
Signal Integrity - A Crash Course [R Lott]Ryan Lott
 
RF Power Amplifier Solutions for 5G
RF Power Amplifier Solutions for 5GRF Power Amplifier Solutions for 5G
RF Power Amplifier Solutions for 5GNXPSemiconductors
 
Power amplifiers
Power amplifiersPower amplifiers
Power amplifiersmofassair
 
Mos transistor
Mos transistorMos transistor
Mos transistorMurali Rai
 
RF Module Design - [Chapter 6] Power Amplifier
RF Module Design - [Chapter 6]  Power AmplifierRF Module Design - [Chapter 6]  Power Amplifier
RF Module Design - [Chapter 6] Power AmplifierSimen Li
 
Noise basics and its modelling
Noise basics and its modellingNoise basics and its modelling
Noise basics and its modellingDr Naim R Kidwai
 
M ary psk modulation
M ary psk modulationM ary psk modulation
M ary psk modulationAhmed Diaa
 
EC8751 Optical Communication-Unit 1-introduction to optical fibers
EC8751 Optical Communication-Unit 1-introduction to optical fibersEC8751 Optical Communication-Unit 1-introduction to optical fibers
EC8751 Optical Communication-Unit 1-introduction to optical fibersjosephin Shermila
 
CMOS logic circuits
CMOS logic circuitsCMOS logic circuits
CMOS logic circuitsMahesh_Naidu
 
Digital modulation techniques...
Digital modulation techniques...Digital modulation techniques...
Digital modulation techniques...Nidhi Baranwal
 

What's hot (20)

Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design
 
Signal Integrity - A Crash Course [R Lott]
Signal Integrity - A Crash Course [R Lott]Signal Integrity - A Crash Course [R Lott]
Signal Integrity - A Crash Course [R Lott]
 
RF Power Amplifier Solutions for 5G
RF Power Amplifier Solutions for 5GRF Power Amplifier Solutions for 5G
RF Power Amplifier Solutions for 5G
 
Power amplifiers
Power amplifiersPower amplifiers
Power amplifiers
 
LINEAR INTEGRATED CIRCUITS
LINEAR INTEGRATED CIRCUITSLINEAR INTEGRATED CIRCUITS
LINEAR INTEGRATED CIRCUITS
 
Mos transistor
Mos transistorMos transistor
Mos transistor
 
EC 8353 EDC
EC 8353 EDCEC 8353 EDC
EC 8353 EDC
 
Latch up
Latch upLatch up
Latch up
 
RF Module Design - [Chapter 6] Power Amplifier
RF Module Design - [Chapter 6]  Power AmplifierRF Module Design - [Chapter 6]  Power Amplifier
RF Module Design - [Chapter 6] Power Amplifier
 
Noise basics and its modelling
Noise basics and its modellingNoise basics and its modelling
Noise basics and its modelling
 
Vlsi
VlsiVlsi
Vlsi
 
M ary psk modulation
M ary psk modulationM ary psk modulation
M ary psk modulation
 
Power amplifiers
Power amplifiersPower amplifiers
Power amplifiers
 
EC8751 Optical Communication-Unit 1-introduction to optical fibers
EC8751 Optical Communication-Unit 1-introduction to optical fibersEC8751 Optical Communication-Unit 1-introduction to optical fibers
EC8751 Optical Communication-Unit 1-introduction to optical fibers
 
CMOS logic circuits
CMOS logic circuitsCMOS logic circuits
CMOS logic circuits
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor Logic
 
RF Transceivers
RF TransceiversRF Transceivers
RF Transceivers
 
Crosstalk
CrosstalkCrosstalk
Crosstalk
 
Tuned amplifire
Tuned amplifireTuned amplifire
Tuned amplifire
 
Digital modulation techniques...
Digital modulation techniques...Digital modulation techniques...
Digital modulation techniques...
 

Viewers also liked

Introduction to PCB Designing
Introduction to PCB DesigningIntroduction to PCB Designing
Introduction to PCB DesigningSharan kumar
 
Aluminum pcb with high thermal conductivity production process
Aluminum pcb with high thermal conductivity production processAluminum pcb with high thermal conductivity production process
Aluminum pcb with high thermal conductivity production processAgile Circuit Co., Ltd
 
Printed Circuit Board Basics
Printed Circuit Board BasicsPrinted Circuit Board Basics
Printed Circuit Board BasicsSierra Assembly
 
Surface Mount Manufacturing Basics
Surface Mount Manufacturing BasicsSurface Mount Manufacturing Basics
Surface Mount Manufacturing BasicsSIM
 
Introduction to PCB Design (Eagle)
Introduction to PCB Design (Eagle)Introduction to PCB Design (Eagle)
Introduction to PCB Design (Eagle)yeokm1
 
Pcb carolina scg_2010
Pcb carolina scg_2010Pcb carolina scg_2010
Pcb carolina scg_2010tcoyle72
 
MDP_03_Report_Spring_Final
MDP_03_Report_Spring_FinalMDP_03_Report_Spring_Final
MDP_03_Report_Spring_FinalArna Friend
 
Virtual Pcb Fjelstad With Audio
Virtual Pcb   Fjelstad With AudioVirtual Pcb   Fjelstad With Audio
Virtual Pcb Fjelstad With Audiobendueker
 
Workshop - Voorkomen van faling door vibraties - mechanical interaction
Workshop - Voorkomen van faling door vibraties - mechanical interactionWorkshop - Voorkomen van faling door vibraties - mechanical interaction
Workshop - Voorkomen van faling door vibraties - mechanical interactionSirris
 
Radar basics digital beamforming
Radar basics   digital beamformingRadar basics   digital beamforming
Radar basics digital beamformingDũng Đỗ
 
PCB designing in PADS layout
PCB designing in PADS layoutPCB designing in PADS layout
PCB designing in PADS layoutAkash Jadhav
 
PCB Design with Mentor Graphics Pads PCB
PCB Design with Mentor Graphics Pads PCBPCB Design with Mentor Graphics Pads PCB
PCB Design with Mentor Graphics Pads PCBMelek Sönmez
 
Robot automatic plug in
Robot automatic plug inRobot automatic plug in
Robot automatic plug inchen Rory
 
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...Ansys
 
Rf design and review guidelines
Rf design and review guidelinesRf design and review guidelines
Rf design and review guidelinesJOSE T Y
 

Viewers also liked (20)

Pcb designing
Pcb designingPcb designing
Pcb designing
 
Introduction to PCB Designing
Introduction to PCB DesigningIntroduction to PCB Designing
Introduction to PCB Designing
 
Aluminum pcb with high thermal conductivity production process
Aluminum pcb with high thermal conductivity production processAluminum pcb with high thermal conductivity production process
Aluminum pcb with high thermal conductivity production process
 
Printed Circuit Board Basics
Printed Circuit Board BasicsPrinted Circuit Board Basics
Printed Circuit Board Basics
 
Pcb design process
Pcb design processPcb design process
Pcb design process
 
Surface Mount Manufacturing Basics
Surface Mount Manufacturing BasicsSurface Mount Manufacturing Basics
Surface Mount Manufacturing Basics
 
Introduction to PCB Design (Eagle)
Introduction to PCB Design (Eagle)Introduction to PCB Design (Eagle)
Introduction to PCB Design (Eagle)
 
PCB 101 - How To Build a Circuit Board
PCB 101 - How To Build a Circuit BoardPCB 101 - How To Build a Circuit Board
PCB 101 - How To Build a Circuit Board
 
Pcb carolina scg_2010
Pcb carolina scg_2010Pcb carolina scg_2010
Pcb carolina scg_2010
 
MDP_03_Report_Spring_Final
MDP_03_Report_Spring_FinalMDP_03_Report_Spring_Final
MDP_03_Report_Spring_Final
 
Virtual Pcb Fjelstad With Audio
Virtual Pcb   Fjelstad With AudioVirtual Pcb   Fjelstad With Audio
Virtual Pcb Fjelstad With Audio
 
Workshop - Voorkomen van faling door vibraties - mechanical interaction
Workshop - Voorkomen van faling door vibraties - mechanical interactionWorkshop - Voorkomen van faling door vibraties - mechanical interaction
Workshop - Voorkomen van faling door vibraties - mechanical interaction
 
Radar basics digital beamforming
Radar basics   digital beamformingRadar basics   digital beamforming
Radar basics digital beamforming
 
PCB designing in PADS layout
PCB designing in PADS layoutPCB designing in PADS layout
PCB designing in PADS layout
 
FRETS1 Satellite
FRETS1 SatelliteFRETS1 Satellite
FRETS1 Satellite
 
PCB Design with Mentor Graphics Pads PCB
PCB Design with Mentor Graphics Pads PCBPCB Design with Mentor Graphics Pads PCB
PCB Design with Mentor Graphics Pads PCB
 
Robot automatic plug in
Robot automatic plug inRobot automatic plug in
Robot automatic plug in
 
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
 
Rf design and review guidelines
Rf design and review guidelinesRf design and review guidelines
Rf design and review guidelines
 
Signal Integrity (SI glitch)
Signal Integrity (SI glitch)Signal Integrity (SI glitch)
Signal Integrity (SI glitch)
 

Similar to High speed-pcb-board-design-and-analysis

3D-IC Designs require 3D tools
3D-IC Designs require 3D tools3D-IC Designs require 3D tools
3D-IC Designs require 3D toolschiportal
 
Design & simulation capability
Design & simulation capabilityDesign & simulation capability
Design & simulation capabilityrogercooke
 
eCognition Image Analysis System
eCognition Image Analysis SystemeCognition Image Analysis System
eCognition Image Analysis SystemCAPIGI
 
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01khalid noman husainy
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Ball Systems Capabilities
Ball Systems CapabilitiesBall Systems Capabilities
Ball Systems Capabilitiesamhoff
 
William Check Resume
William Check ResumeWilliam Check Resume
William Check ResumeBill Check
 
Toshiba Asic & Foundry ELDEC Flyer
Toshiba Asic & Foundry ELDEC FlyerToshiba Asic & Foundry ELDEC Flyer
Toshiba Asic & Foundry ELDEC FlyerSven Hegner
 
Meiling Chung_Resume
Meiling Chung_ResumeMeiling Chung_Resume
Meiling Chung_ResumeMeiLing Chung
 
I Phone Presentation Jan Linden Gips
I Phone Presentation Jan Linden GipsI Phone Presentation Jan Linden Gips
I Phone Presentation Jan Linden Gipsrajivmordani
 
Putting the publisher in the quarterback spot
Putting the publisher in the quarterback spotPutting the publisher in the quarterback spot
Putting the publisher in the quarterback spotMediaPost
 
MDE based FPGA physical Design Fast prototyping with Smalltalk
MDE based FPGA physical Design Fast prototyping with SmalltalkMDE based FPGA physical Design Fast prototyping with Smalltalk
MDE based FPGA physical Design Fast prototyping with SmalltalkESUG
 
Bernal-The role of digital
Bernal-The role of digitalBernal-The role of digital
Bernal-The role of digitalLIS EPI Meeting
 
Meiling Chung_Resume
Meiling Chung_ResumeMeiling Chung_Resume
Meiling Chung_ResumeMeiLing Chung
 
High-Level Synthesis Skill Development Needs - IEDEC
High-Level Synthesis Skill Development Needs - IEDECHigh-Level Synthesis Skill Development Needs - IEDEC
High-Level Synthesis Skill Development Needs - IEDECJack Erickson
 
Software defined radio
Software defined radioSoftware defined radio
Software defined radioDevesh Samaiya
 

Similar to High speed-pcb-board-design-and-analysis (20)

3D-IC Designs require 3D tools
3D-IC Designs require 3D tools3D-IC Designs require 3D tools
3D-IC Designs require 3D tools
 
Design & simulation capability
Design & simulation capabilityDesign & simulation capability
Design & simulation capability
 
eCognition Image Analysis System
eCognition Image Analysis SystemeCognition Image Analysis System
eCognition Image Analysis System
 
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Ball Systems Capabilities
Ball Systems CapabilitiesBall Systems Capabilities
Ball Systems Capabilities
 
William Check Resume
William Check ResumeWilliam Check Resume
William Check Resume
 
Toshiba Asic & Foundry ELDEC Flyer
Toshiba Asic & Foundry ELDEC FlyerToshiba Asic & Foundry ELDEC Flyer
Toshiba Asic & Foundry ELDEC Flyer
 
Himanshu Resume
Himanshu ResumeHimanshu Resume
Himanshu Resume
 
Meiling Chung_Resume
Meiling Chung_ResumeMeiling Chung_Resume
Meiling Chung_Resume
 
I Phone Presentation Jan Linden Gips
I Phone Presentation Jan Linden GipsI Phone Presentation Jan Linden Gips
I Phone Presentation Jan Linden Gips
 
Putting the publisher in the quarterback spot
Putting the publisher in the quarterback spotPutting the publisher in the quarterback spot
Putting the publisher in the quarterback spot
 
MDE based FPGA physical Design Fast prototyping with Smalltalk
MDE based FPGA physical Design Fast prototyping with SmalltalkMDE based FPGA physical Design Fast prototyping with Smalltalk
MDE based FPGA physical Design Fast prototyping with Smalltalk
 
Sa past-future
Sa past-futureSa past-future
Sa past-future
 
Circuits eda
Circuits edaCircuits eda
Circuits eda
 
Bernal-The role of digital
Bernal-The role of digitalBernal-The role of digital
Bernal-The role of digital
 
Meiling Chung_Resume
Meiling Chung_ResumeMeiling Chung_Resume
Meiling Chung_Resume
 
High-Level Synthesis Skill Development Needs - IEDEC
High-Level Synthesis Skill Development Needs - IEDECHigh-Level Synthesis Skill Development Needs - IEDEC
High-Level Synthesis Skill Development Needs - IEDEC
 
Lifecycle
LifecycleLifecycle
Lifecycle
 
Software defined radio
Software defined radioSoftware defined radio
Software defined radio
 

More from Pantech ProLabs India Pvt Ltd

Choosing the right processor for embedded system design
Choosing the right processor for embedded system designChoosing the right processor for embedded system design
Choosing the right processor for embedded system designPantech ProLabs India Pvt Ltd
 

More from Pantech ProLabs India Pvt Ltd (20)

Registration process
Registration processRegistration process
Registration process
 
Choosing the right processor for embedded system design
Choosing the right processor for embedded system designChoosing the right processor for embedded system design
Choosing the right processor for embedded system design
 
Brain Computer Interface
Brain Computer InterfaceBrain Computer Interface
Brain Computer Interface
 
Electric Vehicle Design using Matlab
Electric Vehicle Design using MatlabElectric Vehicle Design using Matlab
Electric Vehicle Design using Matlab
 
Image processing application
Image processing applicationImage processing application
Image processing application
 
Internet of Things using Raspberry Pi
Internet of Things using Raspberry PiInternet of Things using Raspberry Pi
Internet of Things using Raspberry Pi
 
Internet of Things Using Arduino
Internet of Things Using ArduinoInternet of Things Using Arduino
Internet of Things Using Arduino
 
Brain controlled robot
Brain controlled robotBrain controlled robot
Brain controlled robot
 
Brain Computer Interface-Webinar
Brain Computer Interface-WebinarBrain Computer Interface-Webinar
Brain Computer Interface-Webinar
 
Development of Deep Learning Architecture
Development of Deep Learning ArchitectureDevelopment of Deep Learning Architecture
Development of Deep Learning Architecture
 
Future of AI
Future of AIFuture of AI
Future of AI
 
Gate driver design and inductance fabrication
Gate driver design and inductance fabricationGate driver design and inductance fabrication
Gate driver design and inductance fabrication
 
Brainsense -Brain computer Interface
Brainsense -Brain computer InterfaceBrainsense -Brain computer Interface
Brainsense -Brain computer Interface
 
Median filter Implementation using TMS320C6745
Median filter Implementation using TMS320C6745Median filter Implementation using TMS320C6745
Median filter Implementation using TMS320C6745
 
Introduction to Code Composer Studio 4
Introduction to Code Composer Studio 4Introduction to Code Composer Studio 4
Introduction to Code Composer Studio 4
 
Waveform Generation Using TMS320C6745 DSP
Waveform Generation Using TMS320C6745 DSPWaveform Generation Using TMS320C6745 DSP
Waveform Generation Using TMS320C6745 DSP
 
Interfacing UART with tms320C6745
Interfacing UART with tms320C6745Interfacing UART with tms320C6745
Interfacing UART with tms320C6745
 
Switch & LED using TMS320C6745 DSP
Switch & LED using TMS320C6745 DSPSwitch & LED using TMS320C6745 DSP
Switch & LED using TMS320C6745 DSP
 
Led blinking using TMS320C6745
Led blinking using TMS320C6745Led blinking using TMS320C6745
Led blinking using TMS320C6745
 
Introduction to tms320c6745 dsp
Introduction to tms320c6745 dspIntroduction to tms320c6745 dsp
Introduction to tms320c6745 dsp
 

Recently uploaded

Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityGeoBlogs
 
Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxNirmalaLoungPoorunde1
 
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdfssuser54595a
 
How to Configure Email Server in Odoo 17
How to Configure Email Server in Odoo 17How to Configure Email Server in Odoo 17
How to Configure Email Server in Odoo 17Celine George
 
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdfEnzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdfSumit Tiwari
 
Class 11 Legal Studies Ch-1 Concept of State .pdf
Class 11 Legal Studies Ch-1 Concept of State .pdfClass 11 Legal Studies Ch-1 Concept of State .pdf
Class 11 Legal Studies Ch-1 Concept of State .pdfakmcokerachita
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptxVS Mahajan Coaching Centre
 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfsanyamsingh5019
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxmanuelaromero2013
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxiammrhaywood
 
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...M56BOOKSTORE PRODUCT/SERVICE
 
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxPOINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxSayali Powar
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionSafetyChain Software
 
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxContemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxRoyAbrique
 
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTiammrhaywood
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxpboyjonauth
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxGaneshChakor2
 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationnomboosow
 

Recently uploaded (20)

Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activity
 
Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptx
 
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
 
How to Configure Email Server in Odoo 17
How to Configure Email Server in Odoo 17How to Configure Email Server in Odoo 17
How to Configure Email Server in Odoo 17
 
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdfEnzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
 
Class 11 Legal Studies Ch-1 Concept of State .pdf
Class 11 Legal Studies Ch-1 Concept of State .pdfClass 11 Legal Studies Ch-1 Concept of State .pdf
Class 11 Legal Studies Ch-1 Concept of State .pdf
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdf
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptx
 
9953330565 Low Rate Call Girls In Rohini Delhi NCR
9953330565 Low Rate Call Girls In Rohini  Delhi NCR9953330565 Low Rate Call Girls In Rohini  Delhi NCR
9953330565 Low Rate Call Girls In Rohini Delhi NCR
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
 
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...
 
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxPOINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory Inspection
 
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxContemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
 
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptx
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptx
 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communication
 
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdfTataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
 

High speed-pcb-board-design-and-analysis

  • 1. High Speed PCB Design and Analysis
  • 2. ® Agenda • Today’s High Speed PCB design challenges • Cadence PCB solution overview • Demonstration overview • Summary • Q&A 2 CADENCE DESIGN SYSTEMS, INC.
  • 3. ® MARKET CONVERGENCE IS DRIVING DESIGN CONVERGENCE MARKET CONVERGENCE DESIGN CONVERGENCE Communications Hardware Software Computing Multimedia Digital Analog IC Board Consumer 3 CADENCE DESIGN SYSTEMS, INC.
  • 4. ® High Speed Design Challenges IC Board 1 • System timing Clock 4 Driver Setup Hold 2 Tco D0 3 Flight Time D0 – What is the maximum data rate for reliable data transfer? • Waveform integrity D1 D1 D2 D2 Driving Receiving D0 D1 D2 – Do signals meet applicable electrical requirements? • Crosstalk – Do the signals interfere with each other? • Power and/or ground plane stability – Are power voltages at the ICs sufficiently controlled? • EMI (differential and common mode) – Are critical signals radiating too much energy? – Are power planes producing common-mode radiation? 4 CADENCE DESIGN SYSTEMS, INC.
  • 5. ® PCB System Design Flow and Products Communications Functional Functional NC Sim Verification Verification PSpice/AWB Computing SPECCTRAQuest C Multimedia Exploration PCB Design Infrastructure O C Design Entry S Capture CIS N O I Concept HDL S N T Floorplanning R S A A SPECCTRAQuest T N I Consumer R A N A High-Speed L Allegro T I PCB Layout Y SPECCTRA M IC Board N S A Digital Analog T I SPECCTRAQuest N S S A IC G E Packaging Advanced Package R Designer 5 CADENCE DESIGN SYSTEMS, INC.
  • 6. ® IC Board PCB System Design Flow and Products Communications Functional Functional NC Sim Verification Verification PSpice/AWB Computing SPECCTRAQuest C Multimedia Exploration PCB Design Infrastructure O C Design Entry S Capture CIS N O I Concept HDL S N T Floorplanning R S A A SPECCTRAQuest T N I Consumer R A N A High-Speed L Allegro T I PCB Layout Y SPECCTRA M IC Board N S A Digital Analog T I SPECCTRAQuest N S S A IC G E Packaging Advanced Package R Designer 6 CADENCE DESIGN SYSTEMS, INC.
  • 7. ® SPECCTRAQuest products today PCB Systems IC-Packaging Model Management Environment Power Integrity (PI) SPECCTRAQuest Power Integrity SPECCTRAQuest SPECCTRAQuest SI Expert IC Packaging (SQIC) Signal Integrity (SI) SPECCTRAQuest Signal Explorer An environment for creation, verification and management of all types of models 7 CADENCE DESIGN SYSTEMS, INC.
  • 8. ® IC Board Introducing the Design Flow • High speed design issues must be managed throughout the PCB design process Model Pre-Route Post Route Simulation Development Sol’n-Space Analysis & Verification Analysis Verification Topology Constraint Layout Entry & Driven Floorplanning Layout 8 CADENCE DESIGN SYSTEMS, INC.
  • 9. ® IC Board SPECCTRAQuest in the PCB Design flow Physical Layout Physical Layout Exploration Exploration Design/Implementation Design/Implementation FP FP Place Place Route Route Simulation Simulation Verification Verification Design Specs SQ-SigXP SQ-SigXP Concept HDL Expert Concept HDL Expert SQ SQ Allegro Expert Allegro Expert CM CM CM CM CM CM CM CM I/O models SPECCTRAQuest SPECCTRAQuest CM CM • Model Verification SPECCTRA • Topology explorations • Rules development • Timing driven floorplanning • Post placement verification 9 • Post route verification CADENCE DESIGN SYSTEMS, INC.
  • 10. ® Constraint Driven Layout • Design rule violations during interactive routing are identified in real-time • Autorouter follows design rules - powerful integration with SPECCTRA! • Because solution space analysis has defined a set of conditions under which the nets are known to work, chance of first-pass success is high. – Nets can be ripped up and rerouted, as long as they still adhere to the design rules 10 CADENCE DESIGN SYSTEMS, INC.
  • 11. ® PSD 14.0 Constraint Manager • Common, powerful environment for constraint entry / editing / management and verification • Single mechanism for managing constraints throughout the design process 11 CADENCE DESIGN SYSTEMS, INC.
  • 12. ® Constraint Manager – Key Features • Spreadsheet-based graphical interface – No cryptic formats or cumbersome updating • Provides unsurpassed Integration across the entire design flow – Consistent Front to Back solution – No translations with static constraint data – Directly integrated with schematic and PCB databases – Analysis engines can update spreadsheet data interactively 12 CADENCE DESIGN SYSTEMS, INC.
  • 13. ® SPECCTRAQuest Power Integrity • Innovative technology developed and proven by Sun Microsystems, now commercialized by Cadence Design Systems, Inc. to address Power Delivery issues in high-speed PCB System Designs. • A design tool / methodology used to design and optimize the frequency-dependent characteristics of Power Delivery Systems in high-speed system designs • An integrated solution to allow many quick iterations of “change-simulate-analyze” • Introduced in PSD 14.1 release CADENCE DESIGN SYSTEMS, INC.
  • 14. ® Power Delivery Requirements Trend Year Voltage Power Current Frequency Ztarget (Volts) (Watts) (Amps) (MHz) (m-Ohms) 1990 5 5 1 16 250 1993 3.3 10 3 66 54 1996 2.5 30 12 200 10 1999 1.8 90 50 600 1.8 2002 1.2 180 150 1200 0.4 • Power dissipation and longer battery life fueling decreasing chip power supply voltages – Maximum allowable supply ripple decreases accordingly • SoC, SiP fueling trend towards devices with large number of devices – The instantaneous switching current required is enormous • The maximum acceptable power supply ripple voltage determines the target impedance which must be maintained across the PCB CADENCE DESIGN SYSTEMS, INC.
  • 15. ® Power Delivery System Design Challenges • Power supply droop – Alters system timing and can cause Setup failures – Can cause sampling errors that results in a system crash • Unreliable power delivery system design can cause increased common-mode EMI preventing product shipment due to compliance problems • Power delivery system impedance is frequency-dependent – Must be controlled for all frequency range of all transient currents Increases Development Costs and Increases Development Costs and Time to Market is LOST! Time to Market is LOST! 15 CADENCE DESIGN SYSTEMS, INC.
  • 16. ® Power Delivery System Design - How it is done today • Standalone analysis tools – Design data translation is left up to the user – Changes to the design resulting from simulation is manual • Use Time Domain simulation – Power delivery system impedance is frequency-dependent! – With only time domain simulation, it is like searching for needle in a haystack • Over design - add more de-coupling capacitors than necessary – Expensive solution that may not work 16 CADENCE DESIGN SYSTEMS, INC.
  • 17. ® The Cadence approach • Allow users to determine the needs of the power delivery system – Target impedance – Decoupling capacitor requirements • Provide frequency domain analysis to find problem areas • Provide an integrated PCB design editor to optimize capacitor placement Develop reliable power delivery system Develop reliable power delivery system while shortening design cycle time while shortening design cycle time 17 CADENCE DESIGN SYSTEMS, INC.
  • 18. Introducing … ® SPECCTRAQuest Model Integrity (in v14.2) PCB Systems IC-Packaging Power Integrity (PI) SPECCTRAQuest Power Integrity SPECCTRAQuest SPECCTRAQuest SI Expert IC Packaging (SQIC) Signal Integrity (SI) SPECCTRAQuest Signal Explorer Model Integrity (MI) SPECCTRAQuest (in v14.2) Model Integrity for An environment creation, verification and management of all types of models 18 CADENCE DESIGN SYSTEMS, INC.
  • 19. ® SQ Model Integrity • Family of products that offers model creation, manipulation and verification environment – First release (PSD release 14.2) will offer the basic creation/editing and sanity check environment for IO buffer models for following formats – Cadence (DML) – Quad [translator only] – IBIS 3.2 [.ibs, .pkg, .ebd files] – Future releases will offer advanced options that will provide verification environment and handle different kinds of models – Capacitor models for use with SPECCTRAQuest Power Integrity – S-Parameters – IC Package models – Connector/FPGA models – Link with PCB Librarian Expert series 19 CADENCE DESIGN SYSTEMS, INC.
  • 20. ® SPECCTRAQuest Model Integrity 20 CADENCE DESIGN SYSTEMS, INC.
  • 21. ® Demonstration Overview • Model development and validation using SPECCTRAQuest Model Integrity • Constraint Development using SPECCTRAQuest SigXP • Topology development using SPECCTRAQuest Floorplanner • Constraints verification throughout the process • System level verification using Design Link capability • Power Integrity 21 CADENCE DESIGN SYSTEMS, INC.
  • 22.
  • 23. ® Brief Description - SPECCTRAQuest 14.2 • SQ/Allegro, SQIC/APD UI Synchronization Allow users to switch between SQ & Allegro and SQIC & APD plus following commands to be changed in SQ – Display > Element to replace Info > Item – Logic > Net Schedule to replace Topology > Edit Pin Order – Place > Manually to replace Floorplan > Component Place 23 CADENCE DESIGN SYSTEMS, INC.
  • 24. ® Brief Description – SPECCTRAQuest SI Expert 14.2 • Custom measurements Custom measurements and Custom Stimulus will be available when simulating from the board level through the CM. In prior releases they were only available from SigXP • Differential Signalling improvements Extraction and simulation of coupled differential pairs in SQ, SigXP 24 CADENCE DESIGN SYSTEMS, INC.
  • 25. ® Brief Description - Constraint Manager 14.2 • Concept Database Synchronization Synchronizes constraints stored as Schematic properties and CM worksheets • Custom Measurement Provides SigXP’s custom measurement capability in CM. Ability to store custom measurements and to display measurement results in CM [requires SQ SI Expert] • Cross Probing Improvements Cross probing with Allegro to handle segments/ratsnest, pin pairs, DRCs and Clines 25 CADENCE DESIGN SYSTEMS, INC.

Editor's Notes

  1. Spreadsheet style allow you to sort, manipulate your design netlist to easy managing both electrical and physical constraints
  2. SPECCTRAQuest Power Integrity module is a new add on option to SPECCTRAQuest SI Expert. It embeds proven technology from Sun Microsystems, Inc along with design and analysis environment from Cadence to address power delivery issues in high-speed PCB systems design. SPECCTRAQuest Power Integrity embodies a methodology used to design and optimize frequency dependent characteristics of power delivery systems in high speed systems design. It offers an integrated design and analysis environment to allow users many quick iterations of “change-simulate-analyze” in a short period of time.
  3. Over the past decade, power delivery requirements for modern high-speed systems have been increasing rapidly even as the supply voltages have been dropping from 5V in early 1990s to less than 2V in systems being designed today. Chart above shows how the current requirements have grown 5 times faster than the voltages have dropped on most high-speed systems with microprocessors and complex bus architectures. This has resulted in complex high-speed microprocessor based designs requiring over 100 watts of power to be delivered to it. As the supply voltage has dropped in these systems, the tolerance ripple voltage has shrunk as well. 5% allowed ripple in a 5V system meant that the supply voltage could tolerate a swing of 0.25V. The same 5% ripple in a 1.8V system means the swing cannot be more than 0.09V! The systems are becoming more sensitive as the supply voltage drops. The need to reduce the supply voltage will continue to be driven by the battery life and thermal concerns. Most high-speed systems that have front end or post layout SI/Crosstalk simulations performed assume a clean dependable supply voltage. The approach was to sprinke more capacitors than needed to avoid hot spots. Any that were missed were caught in a prototype debug stage. This approach drives up the system per unit cost and complexity (harder to place and route when real-esate is tight).
  4. Power Delivery System design errors show up in various places. Unreliable power delivery (supply droop) can cause timing failures, which can result in system crashes. Improperly addressed hot spots in a high-speed system can increase common mode EMI preventing the product from shipping. Not solving the problem or addressing it too late in the cycle increases development costs and causes the product to miss its time to market window of opportunity, which can be fatal for many products in a competitive market place.
  5. How do people deal with these issues today? Most people tend to deal with it either by over-designing (a bypass cap per power supply pin per device) or by adding an iteration after the prototype debug shows problems. Both approaches are becoming unacceptable if the system cost or real-estate is an issue. Certainly adding an iteration to build a prototype eats into the precious time to market window for most companies building complex high-speed PCB systems. Some companies that use simulation for power delivery system design use standalone time domain (TD) simulation engines to find the voltage swing. The problem is that the cause of the swing is not identified by the TD simulation. Leaving the user to guess at the cause, make a fix and then run simulation again to see if the problem is solved or not. This is the “shooting in the dark” approach. There is no gurantee of convergence. There is a better approach……….
  6. The approach that Cadence has taken is a better approach. It investigates the problem in the frequency domain to identify the cause and also to suggest a solution that can fix the problem. The approach is rooted in the fact that power delivery system’s impedance is freuency dependent and must be controlled for all frequency ranges from DC to daylight. In addition to simulating in frequency domain to identify the problem and suggest a solution, SPECCTRAQuest Power Integrity offers an environment that makes multiple iterations of “change-simulate-analyze” very quick and easy. It offers an intergated design and analysis environment allowing the users to focus on the problem instead of struggling with data translation issues between the CAD system and the analysis engines.