A Fast-Acquisition All-Digital Delay-Locked Loop Using a Starting-Bit Prediction Algorithm for the Successive-Approximation Register
This brief presents a fast-acquisition 11-bit all-digital delay-locked loop (ADDLL) using a novel starting-bit prediction algorithm for the successive-approximation register (SBP-SAR). It can effectively eliminate the harmonic lock and the false lock. The achievable acquisition time is within 17.5-23.5 or 17.5-32.5 clock cycles when the ADDLL works at the low or high clock rate, respectively. The digital-controlled delay line and the SBP-SAR of the ADDLL chip are synthesized using Taiwan Semiconductor Manufacturing Company's (TSMC's) 0.18-μm CMOS cell library. The proposed ADDLL can operate at a clock frequency from 60 MHz to 1.1 GHz.
Web : http://www.lemenizinfotech.com
web : http://www.lemenizinfotech.com/vlsi-ieee-projects-2016-2017/
Web : http://ieeemaster.com
Web : http://ieeemaster.com/vlsi-ieee-projects-2016-2017/
Address: 36, 100 Feet Road Near Indira Gandhi Statue, Natesan Nagar, Pondicherry-605 005
Contact numbers: +91 95663 55386, 99625 88976 0413 420 5444
Mail : projects@lemenizinfotech.com
Mobile : 9566355386 / 9962588976
DESIGN AND ASIC IMPLEMENTATION OF DUC/DDC FOR COMMUNICATION SYSTEMSVLSICS Design
Communication systems use the concept of transmitting information using the electrical distribution network as a communication channel. To enable the transmission data signal modulated on a carrier signal is superimposed on the electrical wires. Typical power lines are designed to handle 50/60 Hz of AC power signal; however they can carry the signals up to 500 KHz frequency. This work aims to aid transmission/reception of an audio signal in the spectrum from 300 Hz to 4000 Hz using PLCC on a tunable carrier frequency in the spectrum from 200 KHz to 500 KHz. For digital amplitude modulation the sampling rate of the carrier and the audio signal has to be matched. Tunable carrier generation can be achieved with Direct Digital Synthesizers at a desired sampling rate. DSP Sample rate conversion techniques are very useful to make the sampling circuits to work on their own sampling rates which are fine for the data/modulated-carrier signal’s bandwidth. This also simplifies the complexity of the sampling circuits. Digital Up Conversion (DUC) and Digital Down Conversion (DDC) are DSP sample rate conversion techniques which refer to increasing and decreasing the sampling rate of a signal respectively. The objective was to design and implement low power ASIC of DUC and DDC designs at 65nm for PLCC. Low power implementation was carried out using Multi-VDD technique. MATLAB software models were used to understand the DUC and DDC designs. RTL to GDS flow was executed using Synopsys tools such as VCS, Design Compiler, IC Compiler and PrimeTime. Key milestones of this activity are RTL verification, synthesis, gate-level simulations, low power architecture definitions, physical implementation, ASIC signoff checks and postroute delay based simulations. Multi-VDD technique deployed on DUC and DDC helped to reduce the power consumption from 280.9uW to 198.07uW and from 176.26uW to 124.47uW respectively. DUC and DUC designs have met functionality at 64MHz clock frequency. Both the designs have passed postroute delay based simulations, static performance checks, power domain checks and TSMC’s 65nm design rule checks.
The signal processing algorithms can be implemented on hardware using various strategies such as DSP processors and ASIC. This PPT compares and contrasts the two methods.
Nexgen Technology Address:
Nexgen Technology
No :66,4th cross,Venkata nagar,
Near SBI ATM,
Puducherry.
Email Id: praveen@nexgenproject.com.
www.nexgenproject.com
Mobile: 9751442511,9791938249
Telephone: 0413-2211159.
NEXGEN TECHNOLOGY as an efficient Software Training Center located at Pondicherry with IT Training on IEEE Projects in Android,IEEE IT B.Tech Student Projects, Android Projects Training with Placements Pondicherry, IEEE projects in pondicherry, final IEEE Projects in Pondicherry , MCA, BTech, BCA Projects in Pondicherry, Bulk IEEE PROJECTS IN Pondicherry.So far we have reached almost all engineering colleges located in Pondicherry and around 90km
Nexgen Technology Address:
Nexgen Technology
No :66,4th cross,Venkata nagar,
Near SBI ATM,
Puducherry.
Email Id: praveen@nexgenproject.com.
www.nexgenproject.com
Mobile: 9751442511,9791938249
Telephone: 0413-2211159.
NEXGEN TECHNOLOGY as an efficient Software Training Center located at Pondicherry with IT Training on IEEE Projects in Android,IEEE IT B.Tech Student Projects, Android Projects Training with Placements Pondicherry, IEEE projects in pondicherry, final IEEE Projects in Pondicherry , MCA, BTech, BCA Projects in Pondicherry, Bulk IEEE PROJECTS IN Pondicherry.So far we have reached almost all engineering colleges located in Pondicherry and around 90km
DESIGN AND ASIC IMPLEMENTATION OF DUC/DDC FOR COMMUNICATION SYSTEMSVLSICS Design
Communication systems use the concept of transmitting information using the electrical distribution network as a communication channel. To enable the transmission data signal modulated on a carrier signal is superimposed on the electrical wires. Typical power lines are designed to handle 50/60 Hz of AC power signal; however they can carry the signals up to 500 KHz frequency. This work aims to aid transmission/reception of an audio signal in the spectrum from 300 Hz to 4000 Hz using PLCC on a tunable carrier frequency in the spectrum from 200 KHz to 500 KHz. For digital amplitude modulation the sampling rate of the carrier and the audio signal has to be matched. Tunable carrier generation can be achieved with Direct Digital Synthesizers at a desired sampling rate. DSP Sample rate conversion techniques are very useful to make the sampling circuits to work on their own sampling rates which are fine for the data/modulated-carrier signal’s bandwidth. This also simplifies the complexity of the sampling circuits. Digital Up Conversion (DUC) and Digital Down Conversion (DDC) are DSP sample rate conversion techniques which refer to increasing and decreasing the sampling rate of a signal respectively. The objective was to design and implement low power ASIC of DUC and DDC designs at 65nm for PLCC. Low power implementation was carried out using Multi-VDD technique. MATLAB software models were used to understand the DUC and DDC designs. RTL to GDS flow was executed using Synopsys tools such as VCS, Design Compiler, IC Compiler and PrimeTime. Key milestones of this activity are RTL verification, synthesis, gate-level simulations, low power architecture definitions, physical implementation, ASIC signoff checks and postroute delay based simulations. Multi-VDD technique deployed on DUC and DDC helped to reduce the power consumption from 280.9uW to 198.07uW and from 176.26uW to 124.47uW respectively. DUC and DUC designs have met functionality at 64MHz clock frequency. Both the designs have passed postroute delay based simulations, static performance checks, power domain checks and TSMC’s 65nm design rule checks.
The signal processing algorithms can be implemented on hardware using various strategies such as DSP processors and ASIC. This PPT compares and contrasts the two methods.
Nexgen Technology Address:
Nexgen Technology
No :66,4th cross,Venkata nagar,
Near SBI ATM,
Puducherry.
Email Id: praveen@nexgenproject.com.
www.nexgenproject.com
Mobile: 9751442511,9791938249
Telephone: 0413-2211159.
NEXGEN TECHNOLOGY as an efficient Software Training Center located at Pondicherry with IT Training on IEEE Projects in Android,IEEE IT B.Tech Student Projects, Android Projects Training with Placements Pondicherry, IEEE projects in pondicherry, final IEEE Projects in Pondicherry , MCA, BTech, BCA Projects in Pondicherry, Bulk IEEE PROJECTS IN Pondicherry.So far we have reached almost all engineering colleges located in Pondicherry and around 90km
Nexgen Technology Address:
Nexgen Technology
No :66,4th cross,Venkata nagar,
Near SBI ATM,
Puducherry.
Email Id: praveen@nexgenproject.com.
www.nexgenproject.com
Mobile: 9751442511,9791938249
Telephone: 0413-2211159.
NEXGEN TECHNOLOGY as an efficient Software Training Center located at Pondicherry with IT Training on IEEE Projects in Android,IEEE IT B.Tech Student Projects, Android Projects Training with Placements Pondicherry, IEEE projects in pondicherry, final IEEE Projects in Pondicherry , MCA, BTech, BCA Projects in Pondicherry, Bulk IEEE PROJECTS IN Pondicherry.So far we have reached almost all engineering colleges located in Pondicherry and around 90km
Nexgen Technology Address:
Nexgen Technology
No :66,4th cross,Venkata nagar,
Near SBI ATM,
Puducherry.
Email Id: praveen@nexgenproject.com.
www.nexgenproject.com
Mobile: 9751442511,9791938249
Telephone: 0413-2211159.
NEXGEN TECHNOLOGY as an efficient Software Training Center located at Pondicherry with IT Training on IEEE Projects in Android,IEEE IT B.Tech Student Projects, Android Projects Training with Placements Pondicherry, IEEE projects in pondicherry, final IEEE Projects in Pondicherry , MCA, BTech, BCA Projects in Pondicherry, Bulk IEEE PROJECTS IN Pondicherry.So far we have reached almost all engineering colleges located in Pondicherry and around 90km
DESIGNED DYNAMIC SEGMENTED LRU AND MODIFIED MOESI PROTOCOL FOR RING CONNECTED...Ilango Jeyasubramanian
• Improved speedup by 3.2%, CPI by 7.68% from regular LRU policy by a new Dynamic SLRU policy Implementation on Gem5 simulator.
• Dynamic segmentation of each cache set was done with cache line access probability based LRU insertion policy and performed block replacement by normal SLRU policy.
• Achieved hit-rate improvement by 2.97%, power reduction by 11% with modified Gem5 Ruby memory system by added instructions in the X86 ISA and additional states in the MOESI protocol for coherence in round-robin ordered ring connected filter caches on Intel X86 processor.
Timing and Design Closure in Physical Design Flows Olivier Coudert
A physical design flow consists of producing a production-worthy layout from a gate-level netlist subject to a set of constraints. We focus on the problems imposed by shrinking process technologies. It exposes the problems of timing closure, signal integrity, design variable dependencies, clock and power/ground routing, and design signoff. It also surveys some physical design flows, and outlines a refinement-based flow.
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYVLSICS Design
Design of a low power Successive Approximation Register Analog to Digital Converter (SAR ADC) in
45nm CMOS Technology for biopotential acquisition systems is presented. It is designed by using a high
threshold voltage (Vt) cell to reduce power dissipation. A 10-bit SAR ADC is designed and compared with
the low resolution SAR ADC and normal threshold voltage (Vt) ADC with respect to power and delay. The
results show that high Vt SAR ADC saves power upto 67% as compared to low Vt SAR ADC without any
penalty of delay. Other performance metrics studied are the Effective Number of Bits (ENOB) and Signal to
Noise Ratio (SNR), Signal to Noise and Distortion Ratio and Spurious Free Dynamic ratio.
Nov 12 Webcast: Eliminate Pitfalls of DDR Memory Testing
Join Teledyne LeCroy's, Mike Hertz, for this free webinar on using an oscilloscope for validation and debug of DDR2/3/4 physical layer measurements.
Topics covered will include: probing, connectivity, recommended device patterns, de-embedding, examples of good and bad waveforms, and debugging steps and techniques.
Mike Hertz has been a Field Applications Engineer with Teledyne LeCroy in Michigan for 14 years.
Digital standard cell library Design flowijsrd.com
Commercial library cells are companies 'proprietary information and understandably companies usually impose certain restrictions on the access and use of their library cells. Those restrictions on commercial library cells severely hamper VLSI research and teaching activities of academia. To address the problem the goal of this paper is to discuss the development of standard cell library. This involves in creating new standard cells, layout design, simulation and verification of each standard cell and finally characterization of all cells for timing and functional properties.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
High performance digital predistortion for wideband RF power amplifiersLei Guan (Phd, SM-IEEE)
Key points of Digital Predistortion (DPD) Technique for linearizing RF Power Amplifiers. Those work were done when I was in University College Dublin, Ireland.
A VLSI (Very Large Scale Integration) system integrates millions of “electronic components” in a small area (few mm2 few cm2).
design “efficient” VLSI systems that has:
Circuit Speed ( high )
Power consumption ( low )
Design Area ( low )
Designing an efficient image encryption then-compression system via predictio...LeMeniz Infotech
Designing an efficient image encryption then-compression system via prediction error clustering and random permutation
Image encryption has to be conducted prior to image compression in many applications. This has led to the problem of how to design a pair of image encryption and compression algorithms such that compressing the encrypted images can still be efficiently performed.
Beaches in the Indian state of Kerala are spread along the 550-km Arabian Sea coastline. Kerala is an Indian state occupying the south-west corner of the subcontinent.
Nexgen Technology Address:
Nexgen Technology
No :66,4th cross,Venkata nagar,
Near SBI ATM,
Puducherry.
Email Id: praveen@nexgenproject.com.
www.nexgenproject.com
Mobile: 9751442511,9791938249
Telephone: 0413-2211159.
NEXGEN TECHNOLOGY as an efficient Software Training Center located at Pondicherry with IT Training on IEEE Projects in Android,IEEE IT B.Tech Student Projects, Android Projects Training with Placements Pondicherry, IEEE projects in pondicherry, final IEEE Projects in Pondicherry , MCA, BTech, BCA Projects in Pondicherry, Bulk IEEE PROJECTS IN Pondicherry.So far we have reached almost all engineering colleges located in Pondicherry and around 90km
DESIGNED DYNAMIC SEGMENTED LRU AND MODIFIED MOESI PROTOCOL FOR RING CONNECTED...Ilango Jeyasubramanian
• Improved speedup by 3.2%, CPI by 7.68% from regular LRU policy by a new Dynamic SLRU policy Implementation on Gem5 simulator.
• Dynamic segmentation of each cache set was done with cache line access probability based LRU insertion policy and performed block replacement by normal SLRU policy.
• Achieved hit-rate improvement by 2.97%, power reduction by 11% with modified Gem5 Ruby memory system by added instructions in the X86 ISA and additional states in the MOESI protocol for coherence in round-robin ordered ring connected filter caches on Intel X86 processor.
Timing and Design Closure in Physical Design Flows Olivier Coudert
A physical design flow consists of producing a production-worthy layout from a gate-level netlist subject to a set of constraints. We focus on the problems imposed by shrinking process technologies. It exposes the problems of timing closure, signal integrity, design variable dependencies, clock and power/ground routing, and design signoff. It also surveys some physical design flows, and outlines a refinement-based flow.
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYVLSICS Design
Design of a low power Successive Approximation Register Analog to Digital Converter (SAR ADC) in
45nm CMOS Technology for biopotential acquisition systems is presented. It is designed by using a high
threshold voltage (Vt) cell to reduce power dissipation. A 10-bit SAR ADC is designed and compared with
the low resolution SAR ADC and normal threshold voltage (Vt) ADC with respect to power and delay. The
results show that high Vt SAR ADC saves power upto 67% as compared to low Vt SAR ADC without any
penalty of delay. Other performance metrics studied are the Effective Number of Bits (ENOB) and Signal to
Noise Ratio (SNR), Signal to Noise and Distortion Ratio and Spurious Free Dynamic ratio.
Nov 12 Webcast: Eliminate Pitfalls of DDR Memory Testing
Join Teledyne LeCroy's, Mike Hertz, for this free webinar on using an oscilloscope for validation and debug of DDR2/3/4 physical layer measurements.
Topics covered will include: probing, connectivity, recommended device patterns, de-embedding, examples of good and bad waveforms, and debugging steps and techniques.
Mike Hertz has been a Field Applications Engineer with Teledyne LeCroy in Michigan for 14 years.
Digital standard cell library Design flowijsrd.com
Commercial library cells are companies 'proprietary information and understandably companies usually impose certain restrictions on the access and use of their library cells. Those restrictions on commercial library cells severely hamper VLSI research and teaching activities of academia. To address the problem the goal of this paper is to discuss the development of standard cell library. This involves in creating new standard cells, layout design, simulation and verification of each standard cell and finally characterization of all cells for timing and functional properties.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
High performance digital predistortion for wideband RF power amplifiersLei Guan (Phd, SM-IEEE)
Key points of Digital Predistortion (DPD) Technique for linearizing RF Power Amplifiers. Those work were done when I was in University College Dublin, Ireland.
A VLSI (Very Large Scale Integration) system integrates millions of “electronic components” in a small area (few mm2 few cm2).
design “efficient” VLSI systems that has:
Circuit Speed ( high )
Power consumption ( low )
Design Area ( low )
Designing an efficient image encryption then-compression system via predictio...LeMeniz Infotech
Designing an efficient image encryption then-compression system via prediction error clustering and random permutation
Image encryption has to be conducted prior to image compression in many applications. This has led to the problem of how to design a pair of image encryption and compression algorithms such that compressing the encrypted images can still be efficiently performed.
Beaches in the Indian state of Kerala are spread along the 550-km Arabian Sea coastline. Kerala is an Indian state occupying the south-west corner of the subcontinent.
Get A Rate Home Loans' Buyer Kit is a simple and comprehensive guide for home buyers. They can learn about home buying do's and don'ts, what to expect during the process, benefits of working with a NJ Direct Lender, real estate terms and other available resources to help them through the process.
Truthful greedy mechanisms for dynamic virtual machine provisioning and alloc...LeMeniz Infotech
Truthful greedy mechanisms for dynamic virtual machine provisioning and allocation in clouds
Do Your Projects With Technology Experts
To Get this projects Call : 9566355386 / 99625 88976
Visit : www.lemenizinfotech.com / www.ieeemaster.com
Mail : projects@lemenizinfotech.com
Single inductor dual-output buck–boost power factor correction converterLeMeniz Infotech
Single inductor dual-output buck–boost power factor correction converter
To Get this projects Call : 9566355386 / 99625 88976
Visit : www.lemenizinfotech.com / www.ieeemaster.com
Mail : projects@lemenizinfotech.com
Efficient filtering algorithms for location aware publish-subscribeLeMeniz Infotech
Efficient filtering algorithms for location aware publish-subscribe
Do Your Projects With Technology Experts
To Get this projects Call : 9566355386 / 99625 88976
Visit : www.lemenizinfotech.com / www.ieeemaster.com
Mail : projects@lemenizinfotech.com
Bandwidth distributed denial of service attacks and defensesLeMeniz Infotech
Bandwidth distributed denial of service attacks and defenses
Distributed denial of service (DDoS) attacks pose a serious threat to the Internet. We discuss the Internet’s vulnerability to Bandwidth Distributed Denial of Service (BW-DDoS) attacks, where many hosts send a huge number of packets exceeding network capacity and causing congestion and losses, thereby disrupting legitimate traffic. TCP and other protocols employ congestion control mechanisms that respond to losses and delays by reducing network usage, hence, their performance may be degraded sharply due to such attacks. Attackers may disrupt connectivity to servers, networks, autonomous systems, or whole countries or regions; such attacks were already launched in several conflicts.
A high efficiency resonant switched capacitor converter with continuous conve...LeMeniz Infotech
A high efficiency resonant switched capacitor converter with continuous conversion ratio
Do Your Projects With Technology Experts...
To Get this projects Call : 9566355386 / 99625 88976
Visit : www.lemenizinfotech.com / www.ieeemaster.com
Mail : projects@lemenizinfotech.com
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...VLSICS Design
Various electronic devices such as mobile phones, DSPs,ALU etc., are designed by using VLSI (Very
Large Scale Integration) technology. In VLSI dynamic CMOS logic circuits are concentrating on the Area
,reducing the power consumption and increasing the Speed by reducing the delay. ALU (Arithmetic Logic
Circuits) are designed by using adder, subtractors, multiplier, divider, etc.Various adder circuits designs
have been proposed over last few years with different logic styles. To reduce the power consumption
several parameters are to be taken into account, such as feedthrough, leakage power single-event upsets,
charge sharing by parasitic components while connecting source and drain of CMOS transistors There are
situations in a logic that permit the use of circuits that can automatically precharge themselves (i.e., reset
themselves) after some prescribed delays. These circuits are hence called postcharge or self-resetting logic
which are widely used in dynamic logic circuits. Overall performance of various adder designs is
evaluated by using Tanner tool . The earlier and the proposed SRLGDI primitives are simulated using
Tanner EDA with BSIM 0.250 lm technology with supply voltage ranging from 0 V to 5 V in steps of 0.2 V.
On comparing the various SRLGDI logic adders, the proposed adder shows low power, delay and low
PDP among its counterparts.
Study on self resetting logic with gate diffusion input (SRL-GDI)shubham jha
With continual technology scaling and improvements in lithography, the integrated system has become faster and thus it is employed in diverse real-time applications. To support high performance applications, proper choice of technology selection and topology for implementing various logic are the mandatory issues in designing low- power devices.
Self Resetting Logic with Gate Diffusion Input (SRLGDI) is the successor of SRCMOS where the CMOS block is replaced with the GDI block hence the name SRLGDI, which is an asynchronous dynamic circuit. This logic family resolves the issues in dynamic circuits like charge sharing , charge leakage , short circuit power dissipation, monotonicity requirement and low output voltage.
In this design the pull down tree is implemented with gate diffusion input (GDI) with level restoration which apparently eliminates the conductance overlap between nMOS and pMOS devices , thereby reducing the short circuit power dissipation and providing high output voltage.
With advancement in CMOS technology, a lot of research has been done to develop various logic styles to improve the performance of logic circuits. D flip-flops (DFF) are fundamental building blocks in almost every sequential logic circuit. Hence, in sequential logic circuits, the overall performance of the circuit is affected by the performance of constituent DFFs. In recent years, the focus has been towards incorporating higher clock rates in a processor for better performance. To achieve high clock rates, fine granularity pipelining techniques are used, which implies that there are relatively a fewer levels of logic in each pipeline stage. A major consequence of this design trend is that the pipeline overhead has becoming more significant. The primary cause of pipeline overhead is the latency of the flip-flop or latch used to design the processor and the clock skew of the system. This calls out for the need of incorporating the logic functionality within the architecture of flip-flop. The new family of flip-flops are called Embedded Logic Flip Flops. In this Paper, we have reviewed various Flip-flop architectures which have been proposed so far. Our attempt is to do a qualitative analysis and comparison of the proposed Embedded logic flip-flop designs.
All digital wide range msar controlled duty cycle correctoracijjournal
A clock with 50% duty cycle is very significant in many applications such as DDR-SDRAMs and double
sampling analog-to-digital converters. This crisp presents a Modified Successive Approximation Register
(MSAR) controlled duty cycle corrector (DCC), to attain 50% duty cycle correction. Here MSAR adopts a
binary search method to compress lock time while maintaining tight synchronization between effort and
production clocks. The MSAR-DCC circuit has been implemented in a 0.18- μm CMOS process which
corrects the duty rate within 5 cycles which has a closed loop characteristics. The measured power
dissipation and area occupation are 5581nW and 0.033mm2 respectively.
Are your Oracle databases highly available? You have deployed Real Application Clusters (RAC), Data Guard, or Failover Clusters and are well protected against server failures? Great – the prerequisites for a highly available environment are given. However, to assure that backend infrastructure failures also remain transparent to the client, an appropriate configuration is a prerequisite.
This lecture will discuss the Oracle technologies that can be used to achieve automatic client failover functionality. What are the advantages, but also the limitations of these technologies?
DELAY ERROR WITH META-STABILITY DETECTION AND CORRECTION USING CMOS TRANSMISS...VLSICS Design
The new technologies are giving the advance systems which are capable to perform multiple operations simultaneously. This all is possible by the scaling technology where the overall chip size get reduced but due to manufacturing and fabrication defects, certain design uncertainty arises thereby affecting the transistor performance by timing related effect. The robust circuit where sufficient margins are given sometime is nothing but a wastage of power to overcome this, hybrid technique called Razor was innovated which scaled the voltage dynamically and automatically detect and correct the timing related defects. This paper proposed a new design for the razor flip flop with CMOS transmission logic. The traditional design used the dynamic logic approach which has the drawback of threshold voltage attenuation which is removed by CMOS transmission logic and the transparency of the logic data at input and output is highly achieved. The overall purpose for such design is to reduce the power and delay of the circuit which is reduced by 0.6mW and 12.11ns respectively and thus increased the overall performance. The complexity of
the circuit is also reduced. The analyses of the circuit is done using Cadence virtuoso tool with 45n technology.
250 MHz Multiphase Delay Locked Loop for Low Power Applications IJECEIAES
Delay locked loop is a critical building block of high speed synchronous circuits. An improved architecture of amixed signaldelay locked loop (DLL) is presented here. In this DLL, delay cell based on single ended differential pair configuration is used for voltage controlled delay line (VCDL) implementation. This delay cell provides a high locking range with less phase noise and jitter due to differential pair configuration.For increasing the acquisition range and locking speed of the DLL, modified true single phase clock (TSPC) based phase frequency detector is used. The proposed design is implemented at 0.18 um CMOS technology and at power supply of 1.8 V . It has power consumption of 1.39 mW at 125 center frequency with locking range from 0.5 MHz to 250 MHz . MHz
A Low Power Solution to Clock Domain Crossingijtsrd
Because of the increased complexity of designs in recent years, we now have multiple components on a single chip that employ independent clocks, meaning that these clocks are not synchronized. As a result, problems with Clock Domain Crossing will occur, which, if not resolved, will proliferate and destroy the entire chip. Data crossing clock domains can cause a variety of problems, including as metastability and data loss, which can lead to the device failing completely. To overcome the clock domain crossing concerns, this work presents a dual flip flop synchronizer that employs TSPC logic and is based on the SOI technology. TSPC synchronizer when implemented in SOI technology gives outstanding results. It improves the rise time by 46.15 , the fall time by 28.57 , dissipates 24.23 less power, power delay product by a huge margin of 59.20 when compared to its bulk CMOS counterpart. When implemented on a chip, it also takes up the least amount of space. All the circuits are designed in DSCH and simulated in Microwind software. Dhatrish Tewari | Mamta Khosla "A Low Power Solution to Clock Domain Crossing" Published in International Journal of Trend in Scientific Research and Development (ijtsrd), ISSN: 2456-6470, Volume-6 | Issue-4 , June 2022, URL: https://www.ijtsrd.com/papers/ijtsrd50222.pdf Paper URL: https://www.ijtsrd.com/engineering/electronics-and-communication-engineering/50222/a-low-power-solution-to-clock-domain-crossing/dhatrish-tewari
Similar to A fast acquisition all-digital delay-locked loop using a starting-bit prediction algorithm for the successive-approximation register (20)
A fast fault tolerant architecture for sauvola local image thresholding algor...LeMeniz Infotech
A Fast Fault-Tolerant Architecture for Sauvola Local Image Thresholding Algorithm Using Stochastic Computing
Binarization plays an important role in document image processing, particularly in degraded document images. Among all local image thresholding algorithms, Sauvola has excellent binarization performance for degraded document images. However, this algorithm is computationally intensive and sensitive to the noises from the internal computational circuits. In this paper, we present a stochastic implementation of Sauvola algorithm. Our experimental results show that the stochastic implementation of Sauvola needs much less time and area and can tolerate more faults, while consuming less power in comparison with its conventional implementation.
Web : http://www.lemenizinfotech.com
web : http://www.lemenizinfotech.com/vlsi-ieee-projects-2016-2017/
Web : http://ieeemaster.com
Web : http://ieeemaster.com/vlsi-ieee-projects-2016-2017/
Address: 36, 100 Feet Road Near Indira Gandhi Statue, Natesan Nagar, Pondicherry-605 005
Contact numbers: +91 95663 55386, 99625 88976 0413 420 5444
Mail : projects@lemenizinfotech.com
Mobile : 9566355386 / 9962588976
A dynamically reconfigurable multi asip architecture for multistandard and mu...LeMeniz Infotech
A Dynamically Reconfigurable Multi-ASIP Architecture for Multistandard and Multimode Turbo Decoding
The multiplication of wireless communication standards is introducing the need of flexible and reconfigurable multistandard baseband receivers. In this context, multiprocessor turbo decoders have been recently developed in order to support the increasing flexibility and throughput requirements of emerging applications. However, these solutions do not sufficiently address reconfiguration performance issues, which can be a limiting factor in the future. This brief presents the design of a reconfigurable multiprocessor architecture for turbo decoding achieving very fast reconfiguration without compromising the decoding performances.
Web : http://www.lemenizinfotech.com
web : http://www.lemenizinfotech.com/vlsi-ieee-projects-2016-2017/
Web : http://ieeemaster.com
Web : http://ieeemaster.com/vlsi-ieee-projects-2016-2017/
Address: 36, 100 Feet Road Near Indira Gandhi Statue, Natesan Nagar, Pondicherry-605 005
Contact numbers: +91 95663 55386, 99625 88976 0413 420 5444
Mail : projects@lemenizinfotech.com
Mobile : 9566355386 / 9962588976
Interleaved digital power factor correction based on the sliding mode approachLeMeniz Infotech
Interleaved Digital Power Factor Correction Based on the Sliding-Mode Approach
This study describes a digitally controlled power factor correction (PFC) system based on two interleaved boost converters operating with pulsewidth modulation (PWM). Both converters are independently controlled by an inner control loop based on a discrete-time sliding-mode (SM) approach that imposes loss-free resistor (LFR) behavior on each cell. The switching surface implements an average current-mode controller so that the power factor (PF) is high. The SM-based digital controller is designed to operate at a constant switching frequency so that the interleaving technique, which is recommended for ac-dc power conversion systems higher than 1 kW, can be readily applied. An outer loop regulates the output voltage by means of a discrete-time proportional-integral (PI) compensator directly obtained from a discrete-time small-signal model of the ideal sliding dynamics. The control law proposed has been validated using numerical simulations and experimental results in a 2-kW prototype.
Web : http://www.lemenizinfotech.com
web : http://www.lemenizinfotech.com/tag/ieee-projects-in-pondicherry/
Web : http://ieeemaster.com
Web : http://ieeemaster.com/power-electronics-ieee-projects-2016-2017/
Web : http://ieeemaster.com/power-system-ieee-projects-2016-2017/
Address: 36, 100 Feet Road(Near Indira Gandhi Statue), Natesan Nagar, Pondicherry-605 005
Contact numbers: +91 95663 55386, 99625 88976 (0413) 420 5444
Mail : projects@lemenizinfotech.com
Mobile : 9566355386 / 9962588976
Bumpless control for reduced thd in power factor correction circuitsLeMeniz Infotech
Bumpless Control for Reduced THD in Power Factor Correction Circuits
It is well known that power factor correction (PFC) circuits suffer from two fundamentally different operating modes over a given AC input cycle. These two modes, continuous conduction mode (CCM) and discontinuous conduction mode (DCM), have very different frequency-response characteristics that can make control design for PFC circuits challenging. The problem is exacerbated by attempts to improve efficiency by dynamically adjusting the PWM switching frequency based on the load. Adjusting the PWM frequency based on the load limits controller bandwidth and restricts dynamic performance. Prior work has made use of multiple controllers, however, they have not addressed the discontinuity (bump) that exists when switching between controllers. In this paper, bumpless controllers will be synthesized for a 750 watt, semi-bridgeless PFC for the CCM-DCM operating modes.
Web : http://www.lemenizinfotech.com
web : http://www.lemenizinfotech.com/tag/ieee-projects-in-pondicherry/
Web : http://ieeemaster.com
Web : http://ieeemaster.com/power-electronics-ieee-projects-2016-2017/
Web : http://ieeemaster.com/power-system-ieee-projects-2016-2017/
Address: 36, 100 Feet Road(Near Indira Gandhi Statue), Natesan Nagar, Pondicherry-605 005
Contact numbers: +91 95663 55386, 99625 88976 (0413) 420 5444
Mail : projects@lemenizinfotech.com
Mobile : 9566355386 / 9962588976
A bidirectional single stage three phase rectifier with high-frequency isolat...LeMeniz Infotech
A bidirectional single-stage three-phase Rectifier with high-frequency Isolation and power factor Correction
This paper proposes a single-stage three-phase rectifier with high-frequency isolation, power factor correction, and bidirectional power flow. The presented topology is adequate for dc grids (or smart-grids), telecommunications (telecom) power supplies, and more recent applications such as electric vehicles. The converter is based on the three-phase version of the dual active bridge (DAB) associated with the three-state-switching cell (3SSC), whose power flow between the primary and secondary sides is controlled by the phase-shift angle. A theoretical analysis is presented and validated through simulation and experimental.
Web : http://www.lemenizinfotech.com
web : http://www.lemenizinfotech.com/tag/ieee-projects-in-pondicherry/
Web : http://ieeemaster.com
Web : http://ieeemaster.com/power-electronics-ieee-projects-2016-2017/
Web : http://ieeemaster.com/power-system-ieee-projects-2016-2017/
Address: 36, 100 Feet Road(Near Indira Gandhi Statue), Natesan Nagar, Pondicherry-605 005
Contact numbers: +91 95663 55386, 99625 88976 (0413) 420 5444
Mail : projects@lemenizinfotech.com
Mobile : 9566355386 / 9962588976
A bidirectional three level llc resonant converter with pwam controlLeMeniz Infotech
A Bidirectional Three-Level LLC Resonant Converter With PWAM Control
This paper proposes a bidirectional three-level LLC resonant converter with a new pulse width and amplitude modulation control method. With different control signals, it has three different operation modes with different voltage gains. Therefore, it can achieve wide voltage gain range by switching among these three modes, which is attractive for energy storage system applications needing wide voltage variation. The proposed topology operates with constant switching frequency, which is easy to implement with digital control, and it can achieve soft switching for all the switches and diodes in the circuit as a conventional LLC resonant converter. The performance of the proposed converter is validated by the experimental results from a 1-kW prototype with 20 A maximum output current.
Web : http://www.lemenizinfotech.com
web : http://www.lemenizinfotech.com/tag/ieee-projects-in-pondicherry/
Web : http://ieeemaster.com
Web : http://ieeemaster.com/power-electronics-ieee-projects-2016-2017/
Web : http://ieeemaster.com/power-system-ieee-projects-2016-2017/
Address: 36, 100 Feet Road(Near Indira Gandhi Statue), Natesan Nagar, Pondicherry-605 005
Contact numbers: +91 95663 55386, 99625 88976 (0413) 420 5444
Mail : projects@lemenizinfotech.com
Mobile : 9566355386 / 9962588976
Efficient single phase transformerless inverter for grid tied pvg system with...LeMeniz Infotech
Efficient Single Phase Transformerless Inverter for Grid-Tied PVG System With Reactive Power Control
There has been an increasing interest in transformerless inverter for grid-tied photovoltaic (PV) system due to low cost, high efficiency, light weight, etc. Therefore, many transformerless topologies have been proposed and verified with real power injection only. Recently, almost every international regulation has imposed that a definite amount of reactive power should be handled by the grid-tied PV inverter. According to the standard VDE-AR-N 4105, grid-tied PV inverter of power rating below 3.68KVA, should attain power factor (PF) from 0.95 leading to 0.95 lagging. In this paper, a new high efficiency transformerless topology is proposed for grid-tied PV system with reactive power control. The new topology structure and detail operation principle with reactive power flow is described. The high frequency common-mode (CM) model and the control of the proposed topology are analyzed. The inherent circuit structure of the proposed topology does not lead itself to the reverse recovery issues even when inject reactive power which allow utilizing MOSFET switches to boost the overall efficiency. The CM voltage is kept constant at mid-point of dc input voltage, results low leakage current. Finally, to validate the proposed topology, a 1 kW laboratory prototype is built and tested. The experimental results show that the proposed topology can inject reactive power into the utility grid without any additional current distortion and leakage current. The maximum efficiency and European efficiency of the proposed topology are measured and found to be 98.54% and 98.29%, respectively.
Web : http://www.lemenizinfotech.com
Web : http://ieeemaster.com
Web : http://www.lemenizinfotech.com/power-system-ieee-projects-2016-2017/
Web : http://ieeemaster.com/power-system-ieee-projects-2016-2017/
Address: 36, 100 Feet Road(Near Indira Gandhi Statue), Natesan Nagar, Pondicherry-605 005
Contact numbers: +91 95663 55386, 99625 88976 (0413) 420 5444
Mail : projects@lemenizinfotech.com
Mobile : 9566355386 / 9962588976
Highly reliable transformerless photovoltaic inverters with leakage current a...LeMeniz Infotech
Highly Reliable Transformerless Photovoltaic Inverters With Leakage Current and Pulsating Power Elimination
This paper presents a transformerless inverter topology, which is capable of simultaneously solving leakage current and pulsating power issues in grid-connected photovoltaic (PV) systems. Without adding any additional components to the system, the leakage current caused by the PV-to-ground parasitic capacitance can be bypassed by introducing a common-mode (CM) conducting path to the inverter. The resulting ground leakage current is therefore well controlled to be below the regulation limit. Furthermore, the proposed inverter can also eliminate the well-known double-line-frequency pulsating power that is inherent in single-phase PV systems. By properly injecting CM voltages to the output filter capacitors, the pulsating power can be decoupled from the dc-link. Therefore, it is possible to use long-lifetime film capacitors instead of electrolytic capacitors to improve the reliability of the PV system. The mechanism of leakage current suppression and the closed-loop control of pulsating power decoupling are discussed in this paper in detail. A 500-W prototype was also built and tested in the laboratory, and both simulation and experimental results are finally presented to show the excellent performance of the proposed PV inverter.
Web : http://www.lemenizinfotech.com
Web : http://ieeemaster.com
Web : http://www.lemenizinfotech.com/power-system-ieee-projects-2016-2017/
Web : http://ieeemaster.com/power-system-ieee-projects-2016-2017/
Address: 36, 100 Feet Road(Near Indira Gandhi Statue), Natesan Nagar, Pondicherry-605 005
Contact numbers: +91 95663 55386, 99625 88976 (0413) 420 5444
Mail : projects@lemenizinfotech.com
Mobile : 9566355386 / 9962588976
Grid current-feedback active damping for lcl resonance in grid-connected volt...LeMeniz Infotech
Grid-Current-Feedback Active Damping for LCL Resonance in Grid-Connected Voltage-Source Converters
This paper investigates active damping of LCL-filter resonance in a grid-connected voltage-source converter with only grid-current feedback control. Basic analysis in the s-domain shows that the proposed damping technique with a negative high-pass filter along its damping path is equivalent to adding a virtual impedance across the grid-side inductance. This added impedance is more precisely represented by a series RL branch in parallel with a negative inductance. The negative inductance helps to mitigate phase lag caused by time delays found in a digitally controlled system. The mitigation of phase-lag, in turn, helps to shrink the region of nonminimum-phase behavior caused by negative virtual resistance inserted unintentionally by most digitally implemented active damping techniques. The presented high-pass-filtered active damping technique with a single grid-current feedback loop is thus a more effective technique, whose systematic design in the z-domain has been developed in this paper. For verification, experimental testing has been performed with results obtained matching the theoretical expectations closely.
Web : http://www.lemenizinfotech.com
Web : http://ieeemaster.com
Web : http://www.lemenizinfotech.com/power-system-ieee-projects-2016-2017/
Web : http://ieeemaster.com/power-system-ieee-projects-2016-2017/
Address: 36, 100 Feet Road(Near Indira Gandhi Statue), Natesan Nagar, Pondicherry-605 005
Contact numbers: +91 95663 55386, 99625 88976 (0413) 420 5444
Mail : projects@lemenizinfotech.com
Mobile : 9566355386 / 9962588976
Delay dependent stability of single-loop controlled grid-connected inverters ...LeMeniz Infotech
Delay-Dependent Stability of Single-Loop Controlled Grid-Connected Inverters with LCL Filters
LCL filters have been widely used for grid-connected inverters. However, the problem that how time delay affects the stability of digitally controlled grid-connected inverters with LCL filters has not been fully studied. In this paper, a systematic study is carried out on the relationship between the time delay and stability of single-loop controlled grid-connected inverters that employ inverter current feedback (ICF) or grid current feedback (GCF). The ranges of time delay for system stability are analyzed and deduced in the continuous s-domain and discrete z-domain. It is shown that in the optimal range, the existence of time delay weakens the stability of the ICF loop, whereas a proper time delay is required for the GCF loop. The present work explains, for the first time, why different conclusions on the stability of ICF loop and GCF loop have been drawn in previous studies. To improve system stability, a linear predictor-based time delay reduction method is proposed for ICF, while a time delay addition method is used for GCF. A controller design method is then presented that guarantees adequate stability margins. The delay-dependent stability study is verified by simulation and experiment.
Web : http://www.lemenizinfotech.com
Web : http://ieeemaster.com
Web : http://www.lemenizinfotech.com/power-system-ieee-projects-2016-2017/
Web : http://ieeemaster.com/power-system-ieee-projects-2016-2017/
Address: 36, 100 Feet Road(Near Indira Gandhi Statue), Natesan Nagar, Pondicherry-605 005
Contact numbers: +91 95663 55386, 99625 88976 (0413) 420 5444
Mail : projects@lemenizinfotech.com
Mobile : 9566355386 / 9962588976
Connection of converters to a low and medium power dc network using an induct...LeMeniz Infotech
Connection of Converters to a Low and Medium Power DC Network Using an Inductor Circut
This paper describes an alternative to connect power converters to a direct-current network with an inductor circuit. The circuit allows the connection of converters through a coil and avoids short-circuit currents with different instantaneous values of voltage output. A description of the calculation and the choice of components together with a real implemented example in a dc network within Smart City project (Endesa Utility) is presented
Web : http://www.lemenizinfotech.com
Web : http://ieeemaster.com
Web : http://www.lemenizinfotech.com/power-system-ieee-projects-2016-2017/
Web : http://ieeemaster.com/power-system-ieee-projects-2016-2017/
Address: 36, 100 Feet Road(Near Indira Gandhi Statue), Natesan Nagar, Pondicherry-605 005
Contact numbers: +91 95663 55386, 99625 88976 (0413) 420 5444
Mail : projects@lemenizinfotech.com
Mobile : 9566355386 / 9962588976
Read2 me a cloud based reading aid for the visually impairedLeMeniz Infotech
Read2Me A Cloud based Reading Aid for the Visually Impaired
Web : http://www.lemenizinfotech.com
Web : http://ieeemaster.com
Web : http://www.lemenizinfotech.com/android-ieee-projects-2016-2017-2/
Web : http://ieeemaster.com/android-ieee-projects-2016-2017/
Address: 36, 100 Feet Road(Near Indira Gandhi Statue), Natesan Nagar, Pondicherry-605 005
Contact numbers: +91 95663 55386, 99625 88976 (0413) 420 5444
Mail : projects@lemenizinfotech.com
Mobile : 9566355386 / 9962588976
Operation “Blue Star” is the only event in the history of Independent India where the state went into war with its own people. Even after about 40 years it is not clear if it was culmination of states anger over people of the region, a political game of power or start of dictatorial chapter in the democratic setup.
The people of Punjab felt alienated from main stream due to denial of their just demands during a long democratic struggle since independence. As it happen all over the word, it led to militant struggle with great loss of lives of military, police and civilian personnel. Killing of Indira Gandhi and massacre of innocent Sikhs in Delhi and other India cities was also associated with this movement.
Read| The latest issue of The Challenger is here! We are thrilled to announce that our school paper has qualified for the NATIONAL SCHOOLS PRESS CONFERENCE (NSPC) 2024. Thank you for your unwavering support and trust. Dive into the stories that made us stand out!
Welcome to TechSoup New Member Orientation and Q&A (May 2024).pdfTechSoup
In this webinar you will learn how your organization can access TechSoup's wide variety of product discount and donation programs. From hardware to software, we'll give you a tour of the tools available to help your nonprofit with productivity, collaboration, financial management, donor tracking, security, and more.
2024.06.01 Introducing a competency framework for languag learning materials ...Sandy Millin
http://sandymillin.wordpress.com/iateflwebinar2024
Published classroom materials form the basis of syllabuses, drive teacher professional development, and have a potentially huge influence on learners, teachers and education systems. All teachers also create their own materials, whether a few sentences on a blackboard, a highly-structured fully-realised online course, or anything in between. Despite this, the knowledge and skills needed to create effective language learning materials are rarely part of teacher training, and are mostly learnt by trial and error.
Knowledge and skills frameworks, generally called competency frameworks, for ELT teachers, trainers and managers have existed for a few years now. However, until I created one for my MA dissertation, there wasn’t one drawing together what we need to know and do to be able to effectively produce language learning materials.
This webinar will introduce you to my framework, highlighting the key competencies I identified from my research. It will also show how anybody involved in language teaching (any language, not just English!), teacher training, managing schools or developing language learning materials can benefit from using the framework.
Palestine last event orientationfvgnh .pptxRaedMohamed3
An EFL lesson about the current events in Palestine. It is intended to be for intermediate students who wish to increase their listening skills through a short lesson in power point.
The Art Pastor's Guide to Sabbath | Steve ThomasonSteve Thomason
What is the purpose of the Sabbath Law in the Torah. It is interesting to compare how the context of the law shifts from Exodus to Deuteronomy. Who gets to rest, and why?
How to Make a Field invisible in Odoo 17Celine George
It is possible to hide or invisible some fields in odoo. Commonly using “invisible” attribute in the field definition to invisible the fields. This slide will show how to make a field invisible in odoo 17.
Ethnobotany and Ethnopharmacology:
Ethnobotany in herbal drug evaluation,
Impact of Ethnobotany in traditional medicine,
New development in herbals,
Bio-prospecting tools for drug discovery,
Role of Ethnopharmacology in drug evaluation,
Reverse Pharmacology.