SlideShare a Scribd company logo
REPRODUCIBLE EMULATION OF 
ANALOG BEHAVIORAL MODELS 
Frank Austin Nothaft 
fnothaft@broadcom.com, @fnothaft 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 1
INTRODUCTION 
 Several trends are impacting mixed-signal ASIC design: 
1. SoC integration drives more features per chip  chip size is getting larger 
and designs are getting more complex. 
2. Analog functionality is moving into the digital domain and digital functionality 
is moving into software 
3. Software bring-up now takes more time than hardware bring-up. 
 Traditional AMS simulation techniques do not scale to large ICs. 
 Even if simulations did scale, they’re too cost-prohibitive to use for 
“simulating” software running on top of hardware. 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 2
FIXING VERIFICATION SCALABILITY 
 Hardware simulation is moving away from AMS simulation engines. 
 Mixed-signal IC verification is becoming a digital problem: 
 Abstract analog behavior with detailed behavioral models. 
 Digital verification environment has much higher throughput and allows much 
richer test setup and modification 
 Digital achieves high coverage, while analog simulation is used for targeted 
cases. 
 Verify software using an emulation platform: 
 This is a traditional approach for digital systems and requires synthesizable 
RTL. 
 Analog behavioral models, however, are not synthesizable. 
 Rewriting analog models as synthesizable code is time-consuming 
and error-prone. 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 3
HOW IS MODERN AMS VERIFICATION RUN? 
 Setup: large (“big-A, big-D”), highly interconnected design. 
 Analog: 
 Analog top-level only runs DC and transient simulations. 
 Directed simulation of “important” modes. 
 Simulation time and convergence difficulty limits further use. 
 MC, PVT, and extracted simulations run at “block” level (e.g., LNA). 
 AMS: 
 Varies a lot; for us, use is generally limited to specific subblocks (ADC/DAC). 
 Digital: 
 Digital teams are running a lot of mixed signal verification. 
 Use behavioral models to make analog useful in the digital environment. 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 4
ASIDE: ANALOG BEHAVIORAL MODELING 
 Use the SystemVerilog real number type to represent the behavior 
of analog systems: 
 Decompose systems into “digital-ish” abstractions (e.g., an IIR filter). 
 Can be both similar to and highly divergent from Verilog-A/AMS…? 
module filter ( 
input real in, 
output real out, 
input rval 
); 
real r = 10e3 + rval ? 10e3 : 0.0; 
real c = 1e-12; 
real T = 1e-9; 
// Use Tustin transform @ 1 GHz 
logic clkSamp; 
real n0, n1, d0, d1; 
real a0, a1, b0, b1; 
// assign filter coefficients 
assign a0 = (T / (r * c)) / b0; 
assign a1 = a0; // symmetric 
assign b0 = (2 + T / (r * c)) 
assign b1 = (2 - T / (r * c)) / b0; 
// generate clock 
initial begin 
clkSamp = 1'b0; 
forever begin 
#0.5 clkSamp = ~clkSamp; 
end 
end 
// IIR filter 
assign d0 = (n0 * a0 + n1 * a1) 
- (d1 * b1); 
always @(posedge clkSamp) begin 
n0 = in * gain; 
n1 = n0; 
d1 = d0; 
end 
endmodule 
 For a large design, the RF model is approx. 100k LOC, 350 modules. 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 5
BEHAVIORAL MODEL 
SYNTHESIS 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 6
BARRIERS TO SYNTHESIS 
1. Working with floating point code: 
 Floating point IP is expensive, if it is even available. 
 If you can’t use floating point IP, what do you do? Convert to fixed point! 
2. Timing constraints, redux: 
 The faster you sample your analog datapath, the slower you simulate. 
3. Clock propagation: 
 Behavioral modeling generally relies on generated clock sources. 
 Where do these come from? 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 7
FLOATING  FIXED POINT 
 Much work has focused on the problem of converting systems from 
floating to fixed point. 
 In general, this is difficult: when is it acceptable to trade off accuracy? 
 In the verification context, a key observation: 
 We know how much accuracy we need! 
 And we know where accuracy is key. 
 Approach: 
 Use pragmas to set sensitivity requirements: 
//{!} sensitivity –signal inp –max 1.2 –min 0.0 –resolution 0.01 
 Once sensitivity is identified, use pragmas to annotate gains, and solve the 
constraint satisfaction problem. 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 8
TIMING FOR IIR FILTERS 
 The majority of clocked blocks in analog models are IIR models of 
filters. 
 May run very fast (1 GHz) to achieve accuracy on high-bandwidth filters. 
 The parallelization of FIR filters is simple; extend this to IIR. 
 Use a pragma to detect the IIR filter, then k-parallelize to reduce the 
sampling rate below the constraint without trading off accuracy: 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 9
PERFORMANCE 
 Large speed-ups: 
 3.6Mx speed-up vs. analog top-level simulation. 
 121x speed-up vs. RTL-level simulation. 
 These numbers represent running at 1/3000 
th the speed of real life. 
 Speed-up is limited by the clock period: 
 With optimization to behavioral models, ~4-5x further gains can be achieved. 
 Performance enables capabilities: 
 Not performance for performance’s sake! (Although, that is good too…) 
 Able to perform end-to-end, closed-loop verification of firmware running on 
an ARM core through a modem, which was controlling a 500k transistor RF 
transceiver. 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 10
LIMITATIONS 
 A limited range of arithmetic is supported: 
 Cannot support division or arbitrary exponentiation at run time. 
 Generally okay; most blocks that need this can leverage precomputation. 
 PLLs: 
 Detailed PLL models run very fast, O (50-100 GHz). 
 Assertion: should be able to move PLL model into phase domain for emulation. 
 RFPLL loop bandwidth in phase domain is generally 100 KHz, which meets 
timing requirements. 
 However, we still haven’t been able to get this to work in practice for emulation: 
 How to handle ΣΔ-modulators? 
 Clocks become phases. How do you drive digital blocks? 
 Open questions here… 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 11
SIDE COMMENTARY 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 12
FUTURE WORK 
 Verifying PLLs is still very difficult: 
 For RF, there is a huge state space to check. 
 A closed-loop system makes it hard to verify components in isolation, and is 
slow to verify the whole loop put together. 
 There is increased interaction with software, but it’s difficult to emulate. 
 Decreased use of AMS simulation: 
 AMS simulators are difficult to use, and not performant. 
 AMS HDLs work poorly with the digital environment. 
 SVDC: does this signal the end of Verilog-AMS? 
 Cosimulate earlier: 
 Most big, bad bugs are at the interface of analog and digital. 
 Can HLS-like techniques be applied to analog? 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 13
CHOICE OF PLATFORM 
 Proprietary vs. FPGA? 
1. Cost (money) 
2. Cost (time) 
 A proprietary platform simplifies debug and test setup  saves human time. 
 FPGAs are generally faster (approx. 50-75 MHz simulation clock vs. 1 MHz). 
3. Cost (capacity) 
 If you can’t fit your design into a single FPGA, multi-FPGA systems are 
difficult to use. 
 General sweet spot: 
 FPGAs are really good for high-coverage testing of synthesized logic 
(running vectors through DSP). 
 Proprietary platforms are really good for broad system simulations. 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 14
ACCURACY 
 SPICE-level accuracy is not important for (most) behavioral models: 
1. Closed-loop simulations are done to verify gross system behavior. E.g.: 
 Does the firmware sequencing trigger in correct order? 
 Can DC calibration get a filter out of deep saturation? 
2. System models struggle to achieve accuracy within several dB… 
3. …because ASICs are used in many different configurations. 
 LTE cellular has a ballpark of 64k different RF channels. 
 It’s impractical to characterize distortion in a transceiver across 64k RF channels. 
 If you can’t characterize error, how do you quantify accuracy? 
4. Top-level SPICE struggles to achieve accuracy!!!!! 
 It’s very difficult to run top-level sims with extraction, across PVT corners, etc. 
 Horowitz et al. proposed a method for proving the validity of an 
analog model via checking linearity vs. a circuit: 
 The method is limited to linear circuits. What if the non-linearity is important? 
 What if I’m concerned about response time or other dynamics? 
 That being said… 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 15
YOU DO NEED TO BE CLOSE ENOUGH… 
 We don’t see real value to formally proving validity, but a wrong 
model is a dangerous model. 
 General premise is, what does “correct” actually mean? 
 To borrow from the digital world, we use an assertion-driven 
method to regress models against designs: 
 Mixed-signal verification is a game of tradeoffs: be as accurate as 
necessary, and not a smidge more. 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 16
ACKNOWLEDGEMENTS 
 Luis Fernandez contributed significantly to implementation and 
automation/reproducibility of emulation system 
 Stephen Cefali contributed work towards PLL control loop 
 Nishant Shah and Jacob Rael have led RF modeling methodology, 
contributed to early prototype design 
 Luke Darnell built significant early FPGA prototypes 
 Thanks to Paul Mudge, Igor Elgorriaga, Alireza Tarighat, Bob 
Lorenz, Raman Dakshinamurthy for discussing and motivating 
implementation 
Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 17

More Related Content

What's hot

Vlsi titles
Vlsi titlesVlsi titles
Vlsi titles
1pointertechnology
 
VLSI IEEE Transaction 2018 - IEEE Transaction
VLSI IEEE Transaction 2018 - IEEE Transaction VLSI IEEE Transaction 2018 - IEEE Transaction
VLSI IEEE Transaction 2018 - IEEE Transaction
Nxfee Innovation
 
Soft Error Study of ARM SoC at 28 Nanometers
Soft Error Study of ARM SoC at 28 NanometersSoft Error Study of ARM SoC at 28 Nanometers
Soft Error Study of ARM SoC at 28 Nanometers
Wojciech Koszek
 
Challenges in Assessing Single Event Upset Impact on Processor Systems
Challenges in Assessing Single Event Upset Impact on Processor SystemsChallenges in Assessing Single Event Upset Impact on Processor Systems
Challenges in Assessing Single Event Upset Impact on Processor Systems
Wojciech Koszek
 
Using and OMA to Optimize QAM Optical Transceivers
Using and OMA to Optimize QAM Optical TransceiversUsing and OMA to Optimize QAM Optical Transceivers
Using and OMA to Optimize QAM Optical Transceivers
teledynelecroy
 
vlsi projects using verilog code 2014-2015
vlsi projects using verilog code 2014-2015vlsi projects using verilog code 2014-2015
vlsi projects using verilog code 2014-2015
E2MATRIX
 
OCP Server Memory Channel Testing DRAFT
OCP Server Memory Channel Testing DRAFTOCP Server Memory Channel Testing DRAFT
OCP Server Memory Channel Testing DRAFTBarbara Aichinger
 
Aruna Ravi - M.S Thesis
Aruna Ravi - M.S ThesisAruna Ravi - M.S Thesis
Aruna Ravi - M.S Thesis
ArunaRavi
 
Ethernet copper physical layer finally unveiled - Frederic Depuydt, KU Leuven
Ethernet copper physical layer finally unveiled -  Frederic Depuydt, KU LeuvenEthernet copper physical layer finally unveiled -  Frederic Depuydt, KU Leuven
Ethernet copper physical layer finally unveiled - Frederic Depuydt, KU Leuven
PROFIBUS and PROFINET InternationaI - PI UK
 
Adam_Mcconnell_Revision3
Adam_Mcconnell_Revision3Adam_Mcconnell_Revision3
Adam_Mcconnell_Revision3Adam McConnell
 
A fast acquisition all-digital delay-locked loop using a starting-bit predict...
A fast acquisition all-digital delay-locked loop using a starting-bit predict...A fast acquisition all-digital delay-locked loop using a starting-bit predict...
A fast acquisition all-digital delay-locked loop using a starting-bit predict...
LeMeniz Infotech
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing Techniques
A B Shinde
 
tau 2015 spyrou fpga timing
tau 2015 spyrou fpga timingtau 2015 spyrou fpga timing
tau 2015 spyrou fpga timingTom Spyrou
 
Ramesh resume
Ramesh resumeRamesh resume
Ramesh resume
Ramesh Bankapalli
 
Resume of Gaurang Rathod, Embedded Software Developer
Resume of Gaurang Rathod, Embedded Software DeveloperResume of Gaurang Rathod, Embedded Software Developer
Resume of Gaurang Rathod, Embedded Software Developer
Gaurang Rathod
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
iosrjce
 

What's hot (20)

Vlsi titles
Vlsi titlesVlsi titles
Vlsi titles
 
dft
dftdft
dft
 
VLSI IEEE Transaction 2018 - IEEE Transaction
VLSI IEEE Transaction 2018 - IEEE Transaction VLSI IEEE Transaction 2018 - IEEE Transaction
VLSI IEEE Transaction 2018 - IEEE Transaction
 
Soft Error Study of ARM SoC at 28 Nanometers
Soft Error Study of ARM SoC at 28 NanometersSoft Error Study of ARM SoC at 28 Nanometers
Soft Error Study of ARM SoC at 28 Nanometers
 
FinalLabreport
FinalLabreportFinalLabreport
FinalLabreport
 
Challenges in Assessing Single Event Upset Impact on Processor Systems
Challenges in Assessing Single Event Upset Impact on Processor SystemsChallenges in Assessing Single Event Upset Impact on Processor Systems
Challenges in Assessing Single Event Upset Impact on Processor Systems
 
Using and OMA to Optimize QAM Optical Transceivers
Using and OMA to Optimize QAM Optical TransceiversUsing and OMA to Optimize QAM Optical Transceivers
Using and OMA to Optimize QAM Optical Transceivers
 
vlsi projects using verilog code 2014-2015
vlsi projects using verilog code 2014-2015vlsi projects using verilog code 2014-2015
vlsi projects using verilog code 2014-2015
 
OCP Server Memory Channel Testing DRAFT
OCP Server Memory Channel Testing DRAFTOCP Server Memory Channel Testing DRAFT
OCP Server Memory Channel Testing DRAFT
 
241 250
241 250241 250
241 250
 
Aruna Ravi - M.S Thesis
Aruna Ravi - M.S ThesisAruna Ravi - M.S Thesis
Aruna Ravi - M.S Thesis
 
Ethernet copper physical layer finally unveiled - Frederic Depuydt, KU Leuven
Ethernet copper physical layer finally unveiled -  Frederic Depuydt, KU LeuvenEthernet copper physical layer finally unveiled -  Frederic Depuydt, KU Leuven
Ethernet copper physical layer finally unveiled - Frederic Depuydt, KU Leuven
 
Adam_Mcconnell_Revision3
Adam_Mcconnell_Revision3Adam_Mcconnell_Revision3
Adam_Mcconnell_Revision3
 
A fast acquisition all-digital delay-locked loop using a starting-bit predict...
A fast acquisition all-digital delay-locked loop using a starting-bit predict...A fast acquisition all-digital delay-locked loop using a starting-bit predict...
A fast acquisition all-digital delay-locked loop using a starting-bit predict...
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing Techniques
 
CV-Nidhin
CV-NidhinCV-Nidhin
CV-Nidhin
 
tau 2015 spyrou fpga timing
tau 2015 spyrou fpga timingtau 2015 spyrou fpga timing
tau 2015 spyrou fpga timing
 
Ramesh resume
Ramesh resumeRamesh resume
Ramesh resume
 
Resume of Gaurang Rathod, Embedded Software Developer
Resume of Gaurang Rathod, Embedded Software DeveloperResume of Gaurang Rathod, Embedded Software Developer
Resume of Gaurang Rathod, Embedded Software Developer
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
 

Similar to Reproducible Emulation of Analog Behavioral Models

A Systematic Approach to Creating Behavioral Models (white paper) v1.0
A Systematic Approach to Creating Behavioral Models (white paper) v1.0A Systematic Approach to Creating Behavioral Models (white paper) v1.0
A Systematic Approach to Creating Behavioral Models (white paper) v1.0Robert O. Peruzzi, PhD, PE, DFE
 
Detailed large-scale real-time HYPERSIM EMT simulation for transient stabilit...
Detailed large-scale real-time HYPERSIM EMT simulation for transient stabilit...Detailed large-scale real-time HYPERSIM EMT simulation for transient stabilit...
Detailed large-scale real-time HYPERSIM EMT simulation for transient stabilit...
OPAL-RT TECHNOLOGIES
 
200-301-demo.pdf
200-301-demo.pdf200-301-demo.pdf
200-301-demo.pdf
CiscoExamDumpsarticl1
 
Cisco 200-301 Exam Dumps
Cisco 200-301 Exam DumpsCisco 200-301 Exam Dumps
Cisco 200-301 Exam Dumps
CiscoExamDumpsarticl2
 
Cisco 200-301 Exam Dumps
Cisco 200-301 Exam DumpsCisco 200-301 Exam Dumps
Cisco 200-301 Exam Dumps
CiscoExamDumpsarticl
 
Imaging automotive 2015 addfor v002
Imaging automotive 2015   addfor v002Imaging automotive 2015   addfor v002
Imaging automotive 2015 addfor v002
Enrico Busto
 
Imaging automotive 2015 addfor v002
Imaging automotive 2015   addfor v002Imaging automotive 2015   addfor v002
Imaging automotive 2015 addfor v002
Enrico Busto
 
FPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionFPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusion
PersiPersi1
 
How To Develop True Distributed Real Time Simulations
How To Develop True Distributed Real Time SimulationsHow To Develop True Distributed Real Time Simulations
How To Develop True Distributed Real Time Simulations
Simware
 
Density based traffic light controlling (2)
Density based traffic light controlling (2)Density based traffic light controlling (2)
Density based traffic light controlling (2)hardik1240
 
Web cast-a day-in_the_life_of_a_hsd_nov_5th_2012_final_al_hamdu_ll_allah__hsd...
Web cast-a day-in_the_life_of_a_hsd_nov_5th_2012_final_al_hamdu_ll_allah__hsd...Web cast-a day-in_the_life_of_a_hsd_nov_5th_2012_final_al_hamdu_ll_allah__hsd...
Web cast-a day-in_the_life_of_a_hsd_nov_5th_2012_final_al_hamdu_ll_allah__hsd...Hany Fahmy
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generation
Dilip Mathuria
 
Co emulation of scan-chain based designs
Co emulation of scan-chain based designsCo emulation of scan-chain based designs
Co emulation of scan-chain based designs
ijcsit
 
SDI to IP 2110 Transition Part 2
SDI to IP 2110 Transition Part 2SDI to IP 2110 Transition Part 2
SDI to IP 2110 Transition Part 2
Dr. Mohieddin Moradi
 
Varsha patil AISSMS IOIT Pune mca te pu book
Varsha patil AISSMS IOIT Pune mca te pu bookVarsha patil AISSMS IOIT Pune mca te pu book
Varsha patil AISSMS IOIT Pune mca te pu bookVarsha Patil
 
Bitm2003 802.11g
Bitm2003 802.11gBitm2003 802.11g
Bitm2003 802.11gArpan Pal
 
Chip Design Trend & Fabrication Prospects In India
Chip  Design Trend & Fabrication Prospects In IndiaChip  Design Trend & Fabrication Prospects In India
Chip Design Trend & Fabrication Prospects In India
bibhuti bikramaditya
 

Similar to Reproducible Emulation of Analog Behavioral Models (20)

A Systematic Approach to Creating Behavioral Models (white paper) v1.0
A Systematic Approach to Creating Behavioral Models (white paper) v1.0A Systematic Approach to Creating Behavioral Models (white paper) v1.0
A Systematic Approach to Creating Behavioral Models (white paper) v1.0
 
Detailed large-scale real-time HYPERSIM EMT simulation for transient stabilit...
Detailed large-scale real-time HYPERSIM EMT simulation for transient stabilit...Detailed large-scale real-time HYPERSIM EMT simulation for transient stabilit...
Detailed large-scale real-time HYPERSIM EMT simulation for transient stabilit...
 
200-301-demo.pdf
200-301-demo.pdf200-301-demo.pdf
200-301-demo.pdf
 
Cisco 200-301 Exam Dumps
Cisco 200-301 Exam DumpsCisco 200-301 Exam Dumps
Cisco 200-301 Exam Dumps
 
Cisco 200-301 Exam Dumps
Cisco 200-301 Exam DumpsCisco 200-301 Exam Dumps
Cisco 200-301 Exam Dumps
 
Imaging automotive 2015 addfor v002
Imaging automotive 2015   addfor v002Imaging automotive 2015   addfor v002
Imaging automotive 2015 addfor v002
 
Imaging automotive 2015 addfor v002
Imaging automotive 2015   addfor v002Imaging automotive 2015   addfor v002
Imaging automotive 2015 addfor v002
 
Introduction to Blackfin BF532 DSP
Introduction to Blackfin BF532 DSPIntroduction to Blackfin BF532 DSP
Introduction to Blackfin BF532 DSP
 
FPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionFPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusion
 
How To Develop True Distributed Real Time Simulations
How To Develop True Distributed Real Time SimulationsHow To Develop True Distributed Real Time Simulations
How To Develop True Distributed Real Time Simulations
 
Density based traffic light controlling (2)
Density based traffic light controlling (2)Density based traffic light controlling (2)
Density based traffic light controlling (2)
 
Web cast-a day-in_the_life_of_a_hsd_nov_5th_2012_final_al_hamdu_ll_allah__hsd...
Web cast-a day-in_the_life_of_a_hsd_nov_5th_2012_final_al_hamdu_ll_allah__hsd...Web cast-a day-in_the_life_of_a_hsd_nov_5th_2012_final_al_hamdu_ll_allah__hsd...
Web cast-a day-in_the_life_of_a_hsd_nov_5th_2012_final_al_hamdu_ll_allah__hsd...
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generation
 
72
7272
72
 
Lear unified env_paper-1
Lear unified env_paper-1Lear unified env_paper-1
Lear unified env_paper-1
 
Co emulation of scan-chain based designs
Co emulation of scan-chain based designsCo emulation of scan-chain based designs
Co emulation of scan-chain based designs
 
SDI to IP 2110 Transition Part 2
SDI to IP 2110 Transition Part 2SDI to IP 2110 Transition Part 2
SDI to IP 2110 Transition Part 2
 
Varsha patil AISSMS IOIT Pune mca te pu book
Varsha patil AISSMS IOIT Pune mca te pu bookVarsha patil AISSMS IOIT Pune mca te pu book
Varsha patil AISSMS IOIT Pune mca te pu book
 
Bitm2003 802.11g
Bitm2003 802.11gBitm2003 802.11g
Bitm2003 802.11g
 
Chip Design Trend & Fabrication Prospects In India
Chip  Design Trend & Fabrication Prospects In IndiaChip  Design Trend & Fabrication Prospects In India
Chip Design Trend & Fabrication Prospects In India
 

More from fnothaft

Scalable Genome Analysis with ADAM
Scalable Genome Analysis with ADAMScalable Genome Analysis with ADAM
Scalable Genome Analysis with ADAM
fnothaft
 
Rethinking Data-Intensive Science Using Scalable Analytics Systems
Rethinking Data-Intensive Science Using Scalable Analytics Systems Rethinking Data-Intensive Science Using Scalable Analytics Systems
Rethinking Data-Intensive Science Using Scalable Analytics Systems
fnothaft
 
Scalable Genome Analysis With ADAM
Scalable Genome Analysis With ADAMScalable Genome Analysis With ADAM
Scalable Genome Analysis With ADAM
fnothaft
 
Fast Variant Calling with ADAM and avocado
Fast Variant Calling with ADAM and avocadoFast Variant Calling with ADAM and avocado
Fast Variant Calling with ADAM and avocado
fnothaft
 
Scaling Genomic Analyses
Scaling Genomic AnalysesScaling Genomic Analyses
Scaling Genomic Analyses
fnothaft
 
Scaling up genomic analysis with ADAM
Scaling up genomic analysis with ADAMScaling up genomic analysis with ADAM
Scaling up genomic analysis with ADAM
fnothaft
 
Scaling up genomic analysis with ADAM
Scaling up genomic analysis with ADAMScaling up genomic analysis with ADAM
Scaling up genomic analysis with ADAM
fnothaft
 
Scalable up genomic analysis with ADAM
Scalable up genomic analysis with ADAMScalable up genomic analysis with ADAM
Scalable up genomic analysis with ADAM
fnothaft
 
CS176: Genome Assembly
CS176: Genome AssemblyCS176: Genome Assembly
CS176: Genome Assembly
fnothaft
 
Execution Environments
Execution EnvironmentsExecution Environments
Execution Environments
fnothaft
 
PacMin @ AMPLab All-Hands
PacMin @ AMPLab All-HandsPacMin @ AMPLab All-Hands
PacMin @ AMPLab All-Hands
fnothaft
 
Design for Scalability in ADAM
Design for Scalability in ADAMDesign for Scalability in ADAM
Design for Scalability in ADAMfnothaft
 
Adam bosc-071114
Adam bosc-071114Adam bosc-071114
Adam bosc-071114fnothaft
 
ADAM—Spark Summit, 2014
ADAM—Spark Summit, 2014ADAM—Spark Summit, 2014
ADAM—Spark Summit, 2014fnothaft
 

More from fnothaft (14)

Scalable Genome Analysis with ADAM
Scalable Genome Analysis with ADAMScalable Genome Analysis with ADAM
Scalable Genome Analysis with ADAM
 
Rethinking Data-Intensive Science Using Scalable Analytics Systems
Rethinking Data-Intensive Science Using Scalable Analytics Systems Rethinking Data-Intensive Science Using Scalable Analytics Systems
Rethinking Data-Intensive Science Using Scalable Analytics Systems
 
Scalable Genome Analysis With ADAM
Scalable Genome Analysis With ADAMScalable Genome Analysis With ADAM
Scalable Genome Analysis With ADAM
 
Fast Variant Calling with ADAM and avocado
Fast Variant Calling with ADAM and avocadoFast Variant Calling with ADAM and avocado
Fast Variant Calling with ADAM and avocado
 
Scaling Genomic Analyses
Scaling Genomic AnalysesScaling Genomic Analyses
Scaling Genomic Analyses
 
Scaling up genomic analysis with ADAM
Scaling up genomic analysis with ADAMScaling up genomic analysis with ADAM
Scaling up genomic analysis with ADAM
 
Scaling up genomic analysis with ADAM
Scaling up genomic analysis with ADAMScaling up genomic analysis with ADAM
Scaling up genomic analysis with ADAM
 
Scalable up genomic analysis with ADAM
Scalable up genomic analysis with ADAMScalable up genomic analysis with ADAM
Scalable up genomic analysis with ADAM
 
CS176: Genome Assembly
CS176: Genome AssemblyCS176: Genome Assembly
CS176: Genome Assembly
 
Execution Environments
Execution EnvironmentsExecution Environments
Execution Environments
 
PacMin @ AMPLab All-Hands
PacMin @ AMPLab All-HandsPacMin @ AMPLab All-Hands
PacMin @ AMPLab All-Hands
 
Design for Scalability in ADAM
Design for Scalability in ADAMDesign for Scalability in ADAM
Design for Scalability in ADAM
 
Adam bosc-071114
Adam bosc-071114Adam bosc-071114
Adam bosc-071114
 
ADAM—Spark Summit, 2014
ADAM—Spark Summit, 2014ADAM—Spark Summit, 2014
ADAM—Spark Summit, 2014
 

Recently uploaded

ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
Jayaprasanna4
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
ydteq
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
Kamal Acharya
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
gdsczhcet
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
bakpo1
 
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Dr.Costas Sachpazis
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
obonagu
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
Pratik Pawar
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
ViniHema
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
thanhdowork
 
Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
AmarGB2
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
Pipe Restoration Solutions
 
English lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdfEnglish lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdf
BrazilAccount1
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
gerogepatton
 
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
MdTanvirMahtab2
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwood
seandesed
 
space technology lecture notes on satellite
space technology lecture notes on satellitespace technology lecture notes on satellite
space technology lecture notes on satellite
ongomchris
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
Amil Baba Dawood bangali
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Teleport Manpower Consultant
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
FluxPrime1
 

Recently uploaded (20)

ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
 
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
 
Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
 
English lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdfEnglish lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdf
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
 
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwood
 
space technology lecture notes on satellite
space technology lecture notes on satellitespace technology lecture notes on satellite
space technology lecture notes on satellite
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
 

Reproducible Emulation of Analog Behavioral Models

  • 1. REPRODUCIBLE EMULATION OF ANALOG BEHAVIORAL MODELS Frank Austin Nothaft fnothaft@broadcom.com, @fnothaft Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 1
  • 2. INTRODUCTION Several trends are impacting mixed-signal ASIC design: 1. SoC integration drives more features per chip chip size is getting larger and designs are getting more complex. 2. Analog functionality is moving into the digital domain and digital functionality is moving into software 3. Software bring-up now takes more time than hardware bring-up. Traditional AMS simulation techniques do not scale to large ICs. Even if simulations did scale, they’re too cost-prohibitive to use for “simulating” software running on top of hardware. Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 2
  • 3. FIXING VERIFICATION SCALABILITY Hardware simulation is moving away from AMS simulation engines. Mixed-signal IC verification is becoming a digital problem: Abstract analog behavior with detailed behavioral models. Digital verification environment has much higher throughput and allows much richer test setup and modification Digital achieves high coverage, while analog simulation is used for targeted cases. Verify software using an emulation platform: This is a traditional approach for digital systems and requires synthesizable RTL. Analog behavioral models, however, are not synthesizable. Rewriting analog models as synthesizable code is time-consuming and error-prone. Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 3
  • 4. HOW IS MODERN AMS VERIFICATION RUN? Setup: large (“big-A, big-D”), highly interconnected design. Analog: Analog top-level only runs DC and transient simulations. Directed simulation of “important” modes. Simulation time and convergence difficulty limits further use. MC, PVT, and extracted simulations run at “block” level (e.g., LNA). AMS: Varies a lot; for us, use is generally limited to specific subblocks (ADC/DAC). Digital: Digital teams are running a lot of mixed signal verification. Use behavioral models to make analog useful in the digital environment. Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 4
  • 5. ASIDE: ANALOG BEHAVIORAL MODELING Use the SystemVerilog real number type to represent the behavior of analog systems: Decompose systems into “digital-ish” abstractions (e.g., an IIR filter). Can be both similar to and highly divergent from Verilog-A/AMS…? module filter ( input real in, output real out, input rval ); real r = 10e3 + rval ? 10e3 : 0.0; real c = 1e-12; real T = 1e-9; // Use Tustin transform @ 1 GHz logic clkSamp; real n0, n1, d0, d1; real a0, a1, b0, b1; // assign filter coefficients assign a0 = (T / (r * c)) / b0; assign a1 = a0; // symmetric assign b0 = (2 + T / (r * c)) assign b1 = (2 - T / (r * c)) / b0; // generate clock initial begin clkSamp = 1'b0; forever begin #0.5 clkSamp = ~clkSamp; end end // IIR filter assign d0 = (n0 * a0 + n1 * a1) - (d1 * b1); always @(posedge clkSamp) begin n0 = in * gain; n1 = n0; d1 = d0; end endmodule For a large design, the RF model is approx. 100k LOC, 350 modules. Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 5
  • 6. BEHAVIORAL MODEL SYNTHESIS Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 6
  • 7. BARRIERS TO SYNTHESIS 1. Working with floating point code: Floating point IP is expensive, if it is even available. If you can’t use floating point IP, what do you do? Convert to fixed point! 2. Timing constraints, redux: The faster you sample your analog datapath, the slower you simulate. 3. Clock propagation: Behavioral modeling generally relies on generated clock sources. Where do these come from? Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 7
  • 8. FLOATING FIXED POINT Much work has focused on the problem of converting systems from floating to fixed point. In general, this is difficult: when is it acceptable to trade off accuracy? In the verification context, a key observation: We know how much accuracy we need! And we know where accuracy is key. Approach: Use pragmas to set sensitivity requirements: //{!} sensitivity –signal inp –max 1.2 –min 0.0 –resolution 0.01 Once sensitivity is identified, use pragmas to annotate gains, and solve the constraint satisfaction problem. Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 8
  • 9. TIMING FOR IIR FILTERS The majority of clocked blocks in analog models are IIR models of filters. May run very fast (1 GHz) to achieve accuracy on high-bandwidth filters. The parallelization of FIR filters is simple; extend this to IIR. Use a pragma to detect the IIR filter, then k-parallelize to reduce the sampling rate below the constraint without trading off accuracy: Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 9
  • 10. PERFORMANCE Large speed-ups: 3.6Mx speed-up vs. analog top-level simulation. 121x speed-up vs. RTL-level simulation. These numbers represent running at 1/3000 th the speed of real life. Speed-up is limited by the clock period: With optimization to behavioral models, ~4-5x further gains can be achieved. Performance enables capabilities: Not performance for performance’s sake! (Although, that is good too…) Able to perform end-to-end, closed-loop verification of firmware running on an ARM core through a modem, which was controlling a 500k transistor RF transceiver. Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 10
  • 11. LIMITATIONS A limited range of arithmetic is supported: Cannot support division or arbitrary exponentiation at run time. Generally okay; most blocks that need this can leverage precomputation. PLLs: Detailed PLL models run very fast, O (50-100 GHz). Assertion: should be able to move PLL model into phase domain for emulation. RFPLL loop bandwidth in phase domain is generally 100 KHz, which meets timing requirements. However, we still haven’t been able to get this to work in practice for emulation: How to handle ΣΔ-modulators? Clocks become phases. How do you drive digital blocks? Open questions here… Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 11
  • 12. SIDE COMMENTARY Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 12
  • 13. FUTURE WORK Verifying PLLs is still very difficult: For RF, there is a huge state space to check. A closed-loop system makes it hard to verify components in isolation, and is slow to verify the whole loop put together. There is increased interaction with software, but it’s difficult to emulate. Decreased use of AMS simulation: AMS simulators are difficult to use, and not performant. AMS HDLs work poorly with the digital environment. SVDC: does this signal the end of Verilog-AMS? Cosimulate earlier: Most big, bad bugs are at the interface of analog and digital. Can HLS-like techniques be applied to analog? Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 13
  • 14. CHOICE OF PLATFORM Proprietary vs. FPGA? 1. Cost (money) 2. Cost (time) A proprietary platform simplifies debug and test setup saves human time. FPGAs are generally faster (approx. 50-75 MHz simulation clock vs. 1 MHz). 3. Cost (capacity) If you can’t fit your design into a single FPGA, multi-FPGA systems are difficult to use. General sweet spot: FPGAs are really good for high-coverage testing of synthesized logic (running vectors through DSP). Proprietary platforms are really good for broad system simulations. Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 14
  • 15. ACCURACY SPICE-level accuracy is not important for (most) behavioral models: 1. Closed-loop simulations are done to verify gross system behavior. E.g.: Does the firmware sequencing trigger in correct order? Can DC calibration get a filter out of deep saturation? 2. System models struggle to achieve accuracy within several dB… 3. …because ASICs are used in many different configurations. LTE cellular has a ballpark of 64k different RF channels. It’s impractical to characterize distortion in a transceiver across 64k RF channels. If you can’t characterize error, how do you quantify accuracy? 4. Top-level SPICE struggles to achieve accuracy!!!!! It’s very difficult to run top-level sims with extraction, across PVT corners, etc. Horowitz et al. proposed a method for proving the validity of an analog model via checking linearity vs. a circuit: The method is limited to linear circuits. What if the non-linearity is important? What if I’m concerned about response time or other dynamics? That being said… Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 15
  • 16. YOU DO NEED TO BE CLOSE ENOUGH… We don’t see real value to formally proving validity, but a wrong model is a dangerous model. General premise is, what does “correct” actually mean? To borrow from the digital world, we use an assertion-driven method to regress models against designs: Mixed-signal verification is a game of tradeoffs: be as accurate as necessary, and not a smidge more. Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 16
  • 17. ACKNOWLEDGEMENTS Luis Fernandez contributed significantly to implementation and automation/reproducibility of emulation system Stephen Cefali contributed work towards PLL control loop Nishant Shah and Jacob Rael have led RF modeling methodology, contributed to early prototype design Luke Darnell built significant early FPGA prototypes Thanks to Paul Mudge, Igor Elgorriaga, Alireza Tarighat, Bob Lorenz, Raman Dakshinamurthy for discussing and motivating implementation Broadcom Proprietary and Confidential. © 2012 Broadcom Corporation. All rights reserved. 17