SlideShare a Scribd company logo
1 of 70
Download to read offline
Fault Models
Dr Usha Mehta
usha.mehta@ieee.org
usha.mehta@nirmauni.ac.in
Acknowledgement…..
This presentation has been summarized from
various books, papers, websites and
presentations on VLSI Design and its various
topics all over the world. I couldn’t itemwise
mention from where these large pull of hints and
work come. However, I’d like to thank all
professors and scientists who created such a
good work on this emerging field. Without those
efforts in this very emerging technology, these
notes and slides can’t be finished.
05-10-2019DrUshaMehta
2
05-10-2019DrUshaMehta
3
Test Principal for Digital Circuits is
Universal…….
05-10-2019DrUshaMehta
4
Verification
• On Design
(functionality,
estimated speed)
• Pre-silicon
• One time
• By simulation,
emulation, formal
methods
• A Design Bug
• Makes all Fabricated
IC useless
• Less prone to occur
Detection/Testing
• On Device
(manufactured
hardware)
• Post-Silicon
• On all ICs, i.e. every
time IC is fabricated
• By Test Generation
and Test Application
• A fabrication defect
• May cause all ICs or
Some of the ICs
useless.
• More prone to occur
because of small
geometry
05-10-2019DrUshaMehta
5
What is Ideal Test?
• Ideal tests detect all defects produced in the manufacturing
process.
• Ideal tests pass all functionally good devices.
• Very large numbers and varieties of possible defects need to
be tested.
• Difficult to generate tests for some real defects.
• Defect-oriented testing is an open problem.
• Is it practical?
05-10-2019DrUshaMehta
6
Testing Philosophy
• Students-chips
• Course syllabus – specifications
• No one has infinite time
• Test paper – fault model
• If Failed,
• repeat the course - respin
• Interaction in class: verification
• Asking teachers the details in
advance – DFT
• If too hard question paper: a
student of pass category fails –
student’s image at risk
(manufacturer’s risk) – yield loss
• If too easy question paper: a
student of fail category passes –
teacher’s image at risk
(consumer’s risk) – defect level
or reject rate
05-10-2019DrUshaMehta
7
Test Plan
• Design House: Design is complete and checked
(verified)
• Fab vendor: How will you test it?
• Design house: I have checked it and …
• Fab vendor: OK. But, how would you test it?
• Design house: Why is that important?
• Complete the story…..
• None of the fab will manufacture your design if
you can not satisfy them with proper test plan.
• That is one reason for design-for testability,
test generation, Built-In-Self-Test etc.
05-10-2019DrUshaMehta
8
Test Development Vs
Manufacturing Test
05-10-2019DrUshaMehta
9
Cost Components of Testing
• Test Development Cost
• Software process of test
• Test generation and fault simulation
• Test programming and debugging
• Test Application Cost
• ATE Cost
• Test Center Operation Cost
• Depends on Test Time per IC
• DFT
• Chip Area Overhead and Yield Reduction
• Performance Overhead
05-10-2019DrUshaMehta
10
What do we mean by
Effective Testing…..
• It means… now onwards all over efforts should be
for more and more effective testing….
It means……
• More fault coverage
• Less test cost
• Less test application time
• Less test power
• More yield
05-10-2019DrUshaMehta
11
Functional vs Structural testing
• For your hardware projects, the steps you follow
are:
• Specification
• Design
• Simulate (Verification – Functional Testing)
• Fabricate
• Testing (Structural Testing)
• Observation of each component and wire working fine
individually!, assumed that if it individual components
are fine and connections are right, the PCB will produce
intended functions correctly)
• Easy and Fast compared to structural
12
DrUshaMehta05-10-2019
Functional Test
• Black Box Approach
 Functional ATPG –
generate complete set of
tests for circuit input-
output combinations
â—¦ 129 inputs, 65 outputs:
â—¦ 2129 =
680,564,733,841,876,92
6,926,749,214,863,536,
422,912 patterns
â—¦ Using 1 GHz ATE, would
take
2.15 x 1022 years
Structural Test
• White Box Approach
ď‚— Structural test:
â—¦ No redundant adder hardware,
64 bit slices
â—¦ Each with 27 faults (using fault
equivalence)
â—¦ At most 64 x 27 = 1728 faults
(tests)
â—¦ Takes 0.000001728 s on 1
GHz ATE
05-10-2019DrUshaMehta
13
Causes of the Defects in Circuit
• Design Errors
• Verification process will catch it
• Fabrication Errors
• Wrong component
• Incorrect Wiring
• Fabrication Defects
• Imperfect Process Variations
• Physical Failure
• During life time of a system
05-10-2019DrUshaMehta
14
Classifications of the Defects
• Permanent
• Intermittent
• During some intervals
• Transient
• One time only
05-10-2019DrUshaMehta
15
Structural Testing
• Hardware components
• Defects in Hardware
• Its effect on output
• Complete list of all possible defects in given
circuits……
• The test which can prove the presence or absence
of the defect from given list
• Test set that can prove the presence or absence of
all possible defects from given list
05-10-2019DrUshaMehta
16
Defects, Errors, Faults
05-10-2019DrUshaMehta
17
Defects, Errors, Faults…..
• Defects: A defect in an electrical system is the unintended difference
between the implemented hardware and its intended design
• Process Defects:
• missing contact window, parasitic transistors, etc.
• Material Defect:
• bulk defects, material impurities etc
• Age Defects:
• Dielectric Breakdown, electromigration etc.
• Package Defects:
• contact degradation, seal leak etc.
• Errors
• A wrong output signal produced by a defective system is called an
error.
• An error is an effect whose cause is some defect.
• Faults
• A representation of a defect at the abstracted level is called a fault.
• The fault is imperfection in function while the defect is imperfection
in hardware.
05-10-2019DrUshaMehta
18
Defects modeled as Faults
• Failure mode is used in reference to the
manifestation of a "defect" at the electrical level.
• Failure modes are modeled as faults at logic or
behavioral level of abstraction.
• At the logic level, failure mode can be interpreted in
different ways.
Physical defect
Physical model
05-10-2019DrUshaMehta
19
Why Models?
Models
• are easier to work with
• are portable
• can be used for simulation so avoid h/w
requirement at early stage
• Nearly all engineering systems are studied
using models
• are used to bridge the gap between
physical reality and mathematical
abstraction
05-10-2019DrUshaMehta
20
Structural Fault Model
• Considering at gate level schematic….
• Let’s start with listing all possible faults
to be considered
• For gate level schematic, fault may be
in:
• components (i.e. gate)
• nets (i.e. connections)
• Let’s assume components are fault free
(not a good assumption?? but for a moment….let’s
assume, we will justify the assumption later on….. )
05-10-2019DrUshaMehta
21
• So the nets are only culprits…..
• The nets may be open or shorted with some other net
• Let’s focus on nets shorted with some other one else
and
• neglect net open for a while.
• Nets may be shorted with Vdd line, ground line or some
other active net.
• If net connected with some other net
• bridge fault
• Net connected to power line
• stuck-at-1 fault,
• Net connected to ground line
• stuck-at-0 line
05-10-2019DrUshaMehta
22
• We will consider bridge fault later on……..
• FOCUS ON STUCK-AT FAULTS ONLY
• For a given fault model with k different types of
faults that can occur at each of n different
potential fault sites,
• So for n nets, there are 3n-1 possible faulty
conditions to be considered separately for
stuck-at fault model.
• Prepare the list for
05-10-2019DrUshaMehta
23
Single Stuck at Fault (SSF)
• Let’s consider, there is only one stuck at fault at a time,
• Ignore multiple suck-at faults
• Considering only single stuck-at a time…..2n possible
faults
05-10-2019DrUshaMehta
24
Stuck-at Faults,
So classic, so legacy…
• Eldred (1959) – First use of structural testing
for the Honeywell Datamatic 1000 computer
• Galey, Norby, Roth (1961) – First publication of
stuck-at-0 and stuck-at-1 faults
• Seshu & Freeman (1962) – Use of stuck-faults
for parallel fault simulation
• Poage (1963) – Theoretical analysis of stuck-at
faults
05-10-2019DrUshaMehta
25
Stuck-at Faults:
Classical Faults
• Why stuck-at faults are considered as classical
faults?
• They are found capable to detect other type of faults
also.
• Relates to yield modeling
• Simple to use
05-10-2019DrUshaMehta
26
Stuck-At Faults
• Single Stuck-at fault
• Only one line is faulty at a time
• The faulty line is permanently stuck at either zero or
one
• Stuck at zero (s-a-0)
• Stuck-at-one (s-a-1)
05-10-2019DrUshaMehta
27
Example of single stuck-at fault
• Taking an example of an
AND gate as shown below:
Inputs
AB
True
Response
Faulty Response
A/0 B/0 Z/0 A/1 B/1 Z/1
00 0 0 0 0 0 0 1
01 0 0 0 0 1 0 1
10 0 0 0 0 0 1 1
11 1 0 0 0 1 1 1
05-10-2019DrUshaMehta
28
Detectable Faults
• For any fault/faults to be detectable, the output must
have the different value compared to the error free
output. For digital function, if error free output is 1, the
erroneous output should be 0 and vice versa.
• Zf(t) /= Z(t) Zf(t) XOR Z(t) = 1
05-10-2019DrUshaMehta
29
Single Stuck At Fault
• Find the test vector for given fault,
1100 0T(1F)
05-10-2019DrUshaMehta
30
One more…
S-a-1
S-a-0
S-a-1
05-10-2019DrUshaMehta
31
Redundant/Undetectable Fault
• For which Zf(t) = Z(t)
• As redundant fault do not change the functionality
of circuit, should it be ignored?.....
05-10-2019DrUshaMehta
32
Try this for a s-a-1….
• Undetectable fault a s-a-1
05-10-2019DrUshaMehta
33
For b s-a-0 ??
• b s-a-0 is detected by t=1101
05-10-2019DrUshaMehta
34
Multiple faults
{ a s-a-1, b s-a-0}….
• In presence of a s-a-1 undetectable fault, b
is no longer detected by t=1101 but it is
detected by t=0X0X
05-10-2019DrUshaMehta
35
C s-a-1 is undetectable Z= AB, Zf=AB
A s-a-0 is detectable by 110
Fault {C s-a-1, a s-a-0} is undetectable.
05-10-2019DrUshaMehta
36
Effect of Undetectable Fault
• If f is detectable fault and g is an undetectable
fault, then f may become undetectable in presence
of g. Such a fault f is called a second generation
redundant fault.
• Two undetectable single faults f and g may become
detectable if simultaneously present.
05-10-2019DrUshaMehta
37
Why single stuck-at fault?
• If we consider multiple stuck at faults, we will
have to consider total 3n-1 possible fault. Even
for moderate n, the number of faults rises to a
large amount.
• Considering single-stuck at fault, this number
reduces to 2n.
• Further the single stuck-at fault gives a quite
good fault coverage nearly 99%.
• Frequent testing strategy
But frequent testing is not enough in following condition.
1. Some physical faults manifest as multiple faults in high density chips
2. Prior to first testing in newly manufactured chip, multiple faults can
exist
3. If testing experiment does not detect every fault, the circuit will contain
undetectable fault every time.
In most cases, a multiple fault can be detected by the tests designed
for the individual single faults that can compose the multiple one.
So single fault assumption is mostly adopted.
05-10-2019DrUshaMehta
38
Fault Equivalence
(by structural approach)
• Two faults of a Boolean circuit are called
equivalent iff they transform the circuit such
that the two faulty circuits have identical output
functions. Equivalent faults are also called
indistinguishable and have exactly the same set
of tests.
05-10-2019DrUshaMehta
39
Fault Equivalence
at Fan-out Branches
• The stuck-at fault on stem is equivalent to
multiple stuck-at fault on all branches. Prove
this.
• A
• X s-a-0, the test set is a1, x0, y1
• Y s-a-0, the test set is a1, x1, y0
• A s-a-0, the test set is a1, x0, y0
• X, Y, A s-a-0 are not equivalent but A s-a-0 is
equivalent to multiple fault {x s-a-0, y s-a-0}
X
Y
05-10-2019DrUshaMehta
40
Fault Equivalence
(by functional approach)
• What is the relation between F1, F2, F3
and F4?
05-10-2019DrUshaMehta
41
Fault Collapsing
• The input to output pass? or output to input pass?
• s-a-0 at d to keep or s-a-0 at e to keep or s-a-1 at g
to keep? Why?
• Input to output pass. Because the Boolean gate has
always single output and collapsing is not possible
for fanout. So no one has to choose one i/p from
multiple i/p. The selection of i/p can affect the
overall no. of fault reduction.
• Collapse Ratio = # of faults in collapsed fault set/ #
all faults
05-10-2019DrUshaMehta
42
Example of Fault Collapsing
05-10-2019DrUshaMehta
43
Fault Dominance
• If all test of some fault f2 detects another
fault f1, then f1 is said to dominate f2. f1
is removed from fault list.
05-10-2019DrUshaMehta
44
Let’s Develop our own EDA tool for fault
equivalence…….
05-10-2019DrUshaMehta
45
• Express circuit at gate level as a program
consisting of interconnected logic operations
• External representation in the form of
netlist…ISCAS format, uv fomat, EDIF format…
• Execute the program on netlist to determine the
circuit output for varying input.
05-10-2019DrUshaMehta
46
05-10-2019DrUshaMehta
47
Steps to develop EDA tool….
• Let’s summarize how we will do it……
05-10-2019DrUshaMehta
48
Some other fault
models…..
05-10-2019DrUshaMehta
49
Transistor (Switch) Faults
• MOS transistor is considered an ideal switch
and two types of faults are modeled:
• Stuck-open -- a single transistor is permanently
stuck in the open state.
• Stuck-short -- a single transistor is permanently
shorted irrespective of its gate voltage.
• Detection of a stuck-open fault requires two
vectors.
• Detection of a stuck-short fault requires the
measurement of quiescent current (IDDQ).
05-10-2019DrUshaMehta
50
Stuck-Open Fault
Two-vector s-op test
can be constructed by
ordering two s-at tests
A
B
VDD
C
pMOS
FETs
nMOS
FETs
Stuck-
open
1
0
0
0
0 1(Z)
Good circuit states
Faulty circuit states
Vector 1: test for A s-a-0
(Initialization vector)
Vector 2 (test for A s-a-1)
05-10-2019DrUshaMehta
51
05-10-2019DrUshaMehta
52
Modelling of Open Faults
• Stuck open fault of a pMOS can be modelled as a s-a-1
fault at the corresponding input signal
• Stuck open fault of a nMOS can be modelled as a s-a-o
fault at the corresponding input signal
• One more reason why stuck-at are called classical
faults!!!
05-10-2019DrUshaMehta
53
Stuck-Short Example
A
B
VDD
C
pMOS
FETs
nMOS
FETs
Stuck-
short
1
0
0 (X)
Good circuit state
Faulty circuit state
Test vector for A s-a-0
IDDQ path in
faulty circuit
05-10-2019DrUshaMehta
54
05-10-2019DrUshaMehta
55
Bridge Fault
• After single stuck-at
faults, bridge faults
are the most
important class of
faults.
• Most commonly
occurring type of
fault.
• Simplified model
assumes 0 resistance
(short) between two
lines (dotted line in
the figure)
05-10-2019DrUshaMehta
56
Wired AND –Wired OR
05-10-2019DrUshaMehta
57
Wired AND/OR
• Depends on types of Gates driving the shorted lines and
inputs to the Gates
05-10-2019DrUshaMehta
58
Not known to fault models…
05-10-2019DrUshaMehta
59
Dominant Bridging Faults
05-10-2019DrUshaMehta
60
Dominant bridging Faults cont…
05-10-2019DrUshaMehta
61
• Wired-AND
• y=0 --> x is s-a-0
• Test for bridge fault:
• Set y to 0 and test for x s-a-0 –or-
• Set x to 0 and test for y s-a-0
• Wired-OR
• y=1 --> x is s-a-1
• Test for bridge fault:
• Set y to 1 and test for x s-a-1 –or-
• Set x to 1 and test for y s-a-1
• Dominant driver
• x always outdrives y
• y always outdrives x
05-10-2019DrUshaMehta
62
Bridge Fault cont….
• Need to consider drive
strengths of bridged nodes to
determine voltage level.
• Gates driven by the bridged
nodes may interpret the
voltage level differently,
depending on their logic
threshold voltages.
• The faulty logic value depends
on:
• The relative strength of pull-
up and pull-down network
• The number of transistors
that are activated in
conflicting network
05-10-2019DrUshaMehta
63
Bridge Fault cont….
05-10-2019DrUshaMehta
64
Feedback Bridge Faults
• In a feedback bridge fault, there exists at least one path between the
two bridged nodes.
• The back line b is the line closest to the PI’s.
• The front line f is the line closest to the PO’s.
• AND:
• set b=0 and test for f s-a-0 (no logical feedback)
• set f=0 and test for b s-a-0, but not through f (i.e., f is not
sensitive to b).
• OR: ???
05-10-2019DrUshaMehta
65
IDDQ Testing
• It relies on measuring the supply current (Idd)
in the quiescent state (when the circuit is not
switching and inputs are held at static values).
The current consumed in the state is
commonly called Iddq for Idd (quiescent) and
hence the name.
• IDDQ testing refers to the integrated circuit
(IC) testing method based upon measurement
of steady state power-supply current.
• Iddq stands for quiescent Idd, or quiescent
power-supply current.
05-10-2019DrUshaMehta
66
IDDQ Testing cont….
• In case of a defect such as gate-oxide short or short
between two metal lines, a conduction path from power-
supply (Vdd) to ground (Gnd) is formed and
subsequently the circuit dissipates significantly high
current.
• This faulty current is a few orders of magnitude higher
than the fault-free leakage current.
• Iddq testing provides physical defect oriented testing
• SoCs contain huge number of transistors
• Summation of leakage current of all transistors becomes
too large to distinguish between faulty and fault-free
chips
• Most of the SoCs contain multiple power supplies
• Iddq testing is done on one power supply at a time
05-10-2019DrUshaMehta
67
IDDQ Testing…..cont….
• Measure IDDQ current through Vss bus
05-10-2019DrUshaMehta
68
IDDT testing
• When a CMOS circuit switches state, a momentary
path is established between the supply lines and
results in dynamic current IDDT
• IDDT exhibits spikes every time circuit switches. The
magnitude and frequency components of the
waveform depends upon switching activity.
• By observing the magnitude and frequency
spectrum of IDDT, addition diagnostic information
about possible defects unmatched with IDDQ and
other methods can be found.
05-10-2019DrUshaMehta
69
THANKS!
05-10-2019DrUshaMehta
70

More Related Content

What's hot

Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)Usha Mehta
 
5 verification methods
5 verification methods5 verification methods
5 verification methodsUsha Mehta
 
11 static timing_analysis_2_combinational_design
11 static timing_analysis_2_combinational_design11 static timing_analysis_2_combinational_design
11 static timing_analysis_2_combinational_designUsha Mehta
 
Testing and Verification of Electronics Circuits : Introduction
Testing and Verification of Electronics Circuits : IntroductionTesting and Verification of Electronics Circuits : Introduction
Testing and Verification of Electronics Circuits : IntroductionUsha Mehta
 
2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verificationUsha Mehta
 
2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introductionUsha Mehta
 
Transition fault detection
Transition fault detectionTransition fault detection
Transition fault detectionRahul Krishnamurthy
 
12 static timing_analysis_3_clocked_design
12 static timing_analysis_3_clocked_design12 static timing_analysis_3_clocked_design
12 static timing_analysis_3_clocked_designUsha Mehta
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDilip Mathuria
 
3 test economic_test_equipments_yield
3 test economic_test_equipments_yield3 test economic_test_equipments_yield
3 test economic_test_equipments_yieldUsha Mehta
 
Design for Testability
Design for Testability Design for Testability
Design for Testability kumar gavanurmath
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfUsha Mehta
 
6 verification tools
6 verification tools6 verification tools
6 verification toolsUsha Mehta
 
BUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignBUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignUsha Mehta
 
Dft (design for testability)
Dft (design for testability)Dft (design for testability)
Dft (design for testability)shaik sharief
 
10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysis10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysisUsha Mehta
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptxAhmed Abdelazeem
 
Clock gating
Clock gatingClock gating
Clock gatingMahi
 

What's hot (20)

Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)
 
Scan insertion
Scan insertionScan insertion
Scan insertion
 
5 verification methods
5 verification methods5 verification methods
5 verification methods
 
11 static timing_analysis_2_combinational_design
11 static timing_analysis_2_combinational_design11 static timing_analysis_2_combinational_design
11 static timing_analysis_2_combinational_design
 
Testing and Verification of Electronics Circuits : Introduction
Testing and Verification of Electronics Circuits : IntroductionTesting and Verification of Electronics Circuits : Introduction
Testing and Verification of Electronics Circuits : Introduction
 
2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification
 
2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction
 
Transition fault detection
Transition fault detectionTransition fault detection
Transition fault detection
 
12 static timing_analysis_3_clocked_design
12 static timing_analysis_3_clocked_design12 static timing_analysis_3_clocked_design
12 static timing_analysis_3_clocked_design
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generation
 
Vlsi testing
Vlsi testingVlsi testing
Vlsi testing
 
3 test economic_test_equipments_yield
3 test economic_test_equipments_yield3 test economic_test_equipments_yield
3 test economic_test_equipments_yield
 
Design for Testability
Design for Testability Design for Testability
Design for Testability
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdf
 
6 verification tools
6 verification tools6 verification tools
6 verification tools
 
BUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignBUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI Design
 
Dft (design for testability)
Dft (design for testability)Dft (design for testability)
Dft (design for testability)
 
10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysis10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysis
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptx
 
Clock gating
Clock gatingClock gating
Clock gating
 

Similar to 2019 5 testing and verification of vlsi design_fault_modeling

Arizona State University Test Lecture
Arizona State University Test LectureArizona State University Test Lecture
Arizona State University Test LecturePete Sarson, PH.D
 
DFT-Lecture regarding the JTAG, MBIST introduction to DFT
DFT-Lecture regarding the JTAG, MBIST introduction to DFTDFT-Lecture regarding the JTAG, MBIST introduction to DFT
DFT-Lecture regarding the JTAG, MBIST introduction to DFTjagneswardharua
 
Industrial control cases with MATLAB code in PLCs, using PROFINET's "oversamp...
Industrial control cases with MATLAB code in PLCs, using PROFINET's "oversamp...Industrial control cases with MATLAB code in PLCs, using PROFINET's "oversamp...
Industrial control cases with MATLAB code in PLCs, using PROFINET's "oversamp...PROFIBUS and PROFINET InternationaI - PI UK
 
Electronic product design and development -2
Electronic product design and development -2Electronic product design and development -2
Electronic product design and development -2Vinayak Bairagi
 
1 why to_test
1 why to_test1 why to_test
1 why to_testUsha Mehta
 
2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_sta2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_staUsha Mehta
 
Test pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUXTest pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUXUrmilasSrinivasan
 
1 why to_test
1 why to_test1 why to_test
1 why to_testUsha Mehta
 
Get Loose! Microservices and Loosely Coupled Architectures
Get Loose! Microservices and Loosely Coupled ArchitecturesGet Loose! Microservices and Loosely Coupled Architectures
Get Loose! Microservices and Loosely Coupled ArchitecturesDeborah Schalm
 
Get Loose! Microservices and Loosely Coupled Architectures
Get Loose! Microservices and Loosely Coupled Architectures Get Loose! Microservices and Loosely Coupled Architectures
Get Loose! Microservices and Loosely Coupled Architectures DevOps.com
 
Bart Knaack - The Truth About Model-Based Quality Improvements
Bart Knaack - The Truth About Model-Based Quality ImprovementsBart Knaack - The Truth About Model-Based Quality Improvements
Bart Knaack - The Truth About Model-Based Quality ImprovementsTEST Huddle
 
Rohit L. Gawali- Plexiglas Project
Rohit L. Gawali- Plexiglas ProjectRohit L. Gawali- Plexiglas Project
Rohit L. Gawali- Plexiglas ProjectRohit Gawali
 
Fiatech 2014 - Computer Simulation of Pipe Fabrication, Ramzi Labban
Fiatech 2014 - Computer Simulation of Pipe Fabrication, Ramzi LabbanFiatech 2014 - Computer Simulation of Pipe Fabrication, Ramzi Labban
Fiatech 2014 - Computer Simulation of Pipe Fabrication, Ramzi LabbanCCT International
 
Matthew Hause Building Bridges between Systems and Software with SysML and UML
Matthew Hause Building Bridges between Systems and Software with SysML and UMLMatthew Hause Building Bridges between Systems and Software with SysML and UML
Matthew Hause Building Bridges between Systems and Software with SysML and UMLINCOSE Colorado Front Range Chapter
 
I3M 2013 – EMSS Conference - Pipe Spool Fabrication Simulation Model
I3M 2013 – EMSS Conference - Pipe Spool Fabrication Simulation ModelI3M 2013 – EMSS Conference - Pipe Spool Fabrication Simulation Model
I3M 2013 – EMSS Conference - Pipe Spool Fabrication Simulation ModelCCT International
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehtaUsha Mehta
 
Realise the Value in modern Data Center Infrastructure
Realise the Value in modern Data Center InfrastructureRealise the Value in modern Data Center Infrastructure
Realise the Value in modern Data Center InfrastructurePanduit
 

Similar to 2019 5 testing and verification of vlsi design_fault_modeling (20)

Arizona State University Test Lecture
Arizona State University Test LectureArizona State University Test Lecture
Arizona State University Test Lecture
 
DFT-Lecture regarding the JTAG, MBIST introduction to DFT
DFT-Lecture regarding the JTAG, MBIST introduction to DFTDFT-Lecture regarding the JTAG, MBIST introduction to DFT
DFT-Lecture regarding the JTAG, MBIST introduction to DFT
 
Industrial control cases with MATLAB code in PLCs, using PROFINET's "oversamp...
Industrial control cases with MATLAB code in PLCs, using PROFINET's "oversamp...Industrial control cases with MATLAB code in PLCs, using PROFINET's "oversamp...
Industrial control cases with MATLAB code in PLCs, using PROFINET's "oversamp...
 
Electronic product design and development -2
Electronic product design and development -2Electronic product design and development -2
Electronic product design and development -2
 
1 why to_test
1 why to_test1 why to_test
1 why to_test
 
2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_sta2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_sta
 
Test pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUXTest pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUX
 
1 why to_test
1 why to_test1 why to_test
1 why to_test
 
Get Loose! Microservices and Loosely Coupled Architectures
Get Loose! Microservices and Loosely Coupled ArchitecturesGet Loose! Microservices and Loosely Coupled Architectures
Get Loose! Microservices and Loosely Coupled Architectures
 
Get Loose! Microservices and Loosely Coupled Architectures
Get Loose! Microservices and Loosely Coupled Architectures Get Loose! Microservices and Loosely Coupled Architectures
Get Loose! Microservices and Loosely Coupled Architectures
 
Bart Knaack - The Truth About Model-Based Quality Improvements
Bart Knaack - The Truth About Model-Based Quality ImprovementsBart Knaack - The Truth About Model-Based Quality Improvements
Bart Knaack - The Truth About Model-Based Quality Improvements
 
lect18.pdf
lect18.pdflect18.pdf
lect18.pdf
 
Meter Operations During and After AMI Deployment
Meter Operations During and After AMI DeploymentMeter Operations During and After AMI Deployment
Meter Operations During and After AMI Deployment
 
Rohit L. Gawali- Plexiglas Project
Rohit L. Gawali- Plexiglas ProjectRohit L. Gawali- Plexiglas Project
Rohit L. Gawali- Plexiglas Project
 
Fiatech 2014 - Computer Simulation of Pipe Fabrication, Ramzi Labban
Fiatech 2014 - Computer Simulation of Pipe Fabrication, Ramzi LabbanFiatech 2014 - Computer Simulation of Pipe Fabrication, Ramzi Labban
Fiatech 2014 - Computer Simulation of Pipe Fabrication, Ramzi Labban
 
class 3.pptx
class 3.pptxclass 3.pptx
class 3.pptx
 
Matthew Hause Building Bridges between Systems and Software with SysML and UML
Matthew Hause Building Bridges between Systems and Software with SysML and UMLMatthew Hause Building Bridges between Systems and Software with SysML and UML
Matthew Hause Building Bridges between Systems and Software with SysML and UML
 
I3M 2013 – EMSS Conference - Pipe Spool Fabrication Simulation Model
I3M 2013 – EMSS Conference - Pipe Spool Fabrication Simulation ModelI3M 2013 – EMSS Conference - Pipe Spool Fabrication Simulation Model
I3M 2013 – EMSS Conference - Pipe Spool Fabrication Simulation Model
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
 
Realise the Value in modern Data Center Infrastructure
Realise the Value in modern Data Center InfrastructureRealise the Value in modern Data Center Infrastructure
Realise the Value in modern Data Center Infrastructure
 

More from Usha Mehta

Basic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysBasic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysUsha Mehta
 
Field Programmable Gate Arrays : Architecture
Field Programmable Gate Arrays : ArchitectureField Programmable Gate Arrays : Architecture
Field Programmable Gate Arrays : ArchitectureUsha Mehta
 
Programmable Logic Devices : SPLD and CPLD
Programmable Logic Devices : SPLD and CPLDProgrammable Logic Devices : SPLD and CPLD
Programmable Logic Devices : SPLD and CPLDUsha Mehta
 
Programmable Switches for Programmable Logic Devices
Programmable Switches for Programmable Logic DevicesProgrammable Switches for Programmable Logic Devices
Programmable Switches for Programmable Logic DevicesUsha Mehta
 
2_DVD_ASIC_Design_FLow.pdf
2_DVD_ASIC_Design_FLow.pdf2_DVD_ASIC_Design_FLow.pdf
2_DVD_ASIC_Design_FLow.pdfUsha Mehta
 
3_DVD_IC_Fabrication_Flow_designer_perspective.pdf
3_DVD_IC_Fabrication_Flow_designer_perspective.pdf3_DVD_IC_Fabrication_Flow_designer_perspective.pdf
3_DVD_IC_Fabrication_Flow_designer_perspective.pdfUsha Mehta
 
7_DVD_Combinational_MOS_Logic_Circuits.pdf
7_DVD_Combinational_MOS_Logic_Circuits.pdf7_DVD_Combinational_MOS_Logic_Circuits.pdf
7_DVD_Combinational_MOS_Logic_Circuits.pdfUsha Mehta
 
5_DVD_VLSI Technology Trends.pdf
5_DVD_VLSI Technology Trends.pdf5_DVD_VLSI Technology Trends.pdf
5_DVD_VLSI Technology Trends.pdfUsha Mehta
 
8_DVD_Sequential_MOS_logic_circuits.pdf
8_DVD_Sequential_MOS_logic_circuits.pdf8_DVD_Sequential_MOS_logic_circuits.pdf
8_DVD_Sequential_MOS_logic_circuits.pdfUsha Mehta
 
9_DVD_Dynamic_logic_circuits.pdf
9_DVD_Dynamic_logic_circuits.pdf9_DVD_Dynamic_logic_circuits.pdf
9_DVD_Dynamic_logic_circuits.pdfUsha Mehta
 
13_DVD_Latch-up_prevention.pdf
13_DVD_Latch-up_prevention.pdf13_DVD_Latch-up_prevention.pdf
13_DVD_Latch-up_prevention.pdfUsha Mehta
 
14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossingUsha Mehta
 
9 semiconductor memory
9 semiconductor memory9 semiconductor memory
9 semiconductor memoryUsha Mehta
 
Verification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_designVerification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_designUsha Mehta
 

More from Usha Mehta (14)

Basic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysBasic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate Arrays
 
Field Programmable Gate Arrays : Architecture
Field Programmable Gate Arrays : ArchitectureField Programmable Gate Arrays : Architecture
Field Programmable Gate Arrays : Architecture
 
Programmable Logic Devices : SPLD and CPLD
Programmable Logic Devices : SPLD and CPLDProgrammable Logic Devices : SPLD and CPLD
Programmable Logic Devices : SPLD and CPLD
 
Programmable Switches for Programmable Logic Devices
Programmable Switches for Programmable Logic DevicesProgrammable Switches for Programmable Logic Devices
Programmable Switches for Programmable Logic Devices
 
2_DVD_ASIC_Design_FLow.pdf
2_DVD_ASIC_Design_FLow.pdf2_DVD_ASIC_Design_FLow.pdf
2_DVD_ASIC_Design_FLow.pdf
 
3_DVD_IC_Fabrication_Flow_designer_perspective.pdf
3_DVD_IC_Fabrication_Flow_designer_perspective.pdf3_DVD_IC_Fabrication_Flow_designer_perspective.pdf
3_DVD_IC_Fabrication_Flow_designer_perspective.pdf
 
7_DVD_Combinational_MOS_Logic_Circuits.pdf
7_DVD_Combinational_MOS_Logic_Circuits.pdf7_DVD_Combinational_MOS_Logic_Circuits.pdf
7_DVD_Combinational_MOS_Logic_Circuits.pdf
 
5_DVD_VLSI Technology Trends.pdf
5_DVD_VLSI Technology Trends.pdf5_DVD_VLSI Technology Trends.pdf
5_DVD_VLSI Technology Trends.pdf
 
8_DVD_Sequential_MOS_logic_circuits.pdf
8_DVD_Sequential_MOS_logic_circuits.pdf8_DVD_Sequential_MOS_logic_circuits.pdf
8_DVD_Sequential_MOS_logic_circuits.pdf
 
9_DVD_Dynamic_logic_circuits.pdf
9_DVD_Dynamic_logic_circuits.pdf9_DVD_Dynamic_logic_circuits.pdf
9_DVD_Dynamic_logic_circuits.pdf
 
13_DVD_Latch-up_prevention.pdf
13_DVD_Latch-up_prevention.pdf13_DVD_Latch-up_prevention.pdf
13_DVD_Latch-up_prevention.pdf
 
14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing
 
9 semiconductor memory
9 semiconductor memory9 semiconductor memory
9 semiconductor memory
 
Verification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_designVerification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_design
 

Recently uploaded

Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2RajaP95
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacingjaychoudhary37
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZTE
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and usesDevarapalliHaritha
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 

Recently uploaded (20)

Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacing
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and uses
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 

2019 5 testing and verification of vlsi design_fault_modeling

  • 1. Fault Models Dr Usha Mehta usha.mehta@ieee.org usha.mehta@nirmauni.ac.in
  • 2. Acknowledgement….. This presentation has been summarized from various books, papers, websites and presentations on VLSI Design and its various topics all over the world. I couldn’t itemwise mention from where these large pull of hints and work come. However, I’d like to thank all professors and scientists who created such a good work on this emerging field. Without those efforts in this very emerging technology, these notes and slides can’t be finished. 05-10-2019DrUshaMehta 2
  • 4. Test Principal for Digital Circuits is Universal……. 05-10-2019DrUshaMehta 4
  • 5. Verification • On Design (functionality, estimated speed) • Pre-silicon • One time • By simulation, emulation, formal methods • A Design Bug • Makes all Fabricated IC useless • Less prone to occur Detection/Testing • On Device (manufactured hardware) • Post-Silicon • On all ICs, i.e. every time IC is fabricated • By Test Generation and Test Application • A fabrication defect • May cause all ICs or Some of the ICs useless. • More prone to occur because of small geometry 05-10-2019DrUshaMehta 5
  • 6. What is Ideal Test? • Ideal tests detect all defects produced in the manufacturing process. • Ideal tests pass all functionally good devices. • Very large numbers and varieties of possible defects need to be tested. • Difficult to generate tests for some real defects. • Defect-oriented testing is an open problem. • Is it practical? 05-10-2019DrUshaMehta 6
  • 7. Testing Philosophy • Students-chips • Course syllabus – specifications • No one has infinite time • Test paper – fault model • If Failed, • repeat the course - respin • Interaction in class: verification • Asking teachers the details in advance – DFT • If too hard question paper: a student of pass category fails – student’s image at risk (manufacturer’s risk) – yield loss • If too easy question paper: a student of fail category passes – teacher’s image at risk (consumer’s risk) – defect level or reject rate 05-10-2019DrUshaMehta 7
  • 8. Test Plan • Design House: Design is complete and checked (verified) • Fab vendor: How will you test it? • Design house: I have checked it and … • Fab vendor: OK. But, how would you test it? • Design house: Why is that important? • Complete the story….. • None of the fab will manufacture your design if you can not satisfy them with proper test plan. • That is one reason for design-for testability, test generation, Built-In-Self-Test etc. 05-10-2019DrUshaMehta 8
  • 9. Test Development Vs Manufacturing Test 05-10-2019DrUshaMehta 9
  • 10. Cost Components of Testing • Test Development Cost • Software process of test • Test generation and fault simulation • Test programming and debugging • Test Application Cost • ATE Cost • Test Center Operation Cost • Depends on Test Time per IC • DFT • Chip Area Overhead and Yield Reduction • Performance Overhead 05-10-2019DrUshaMehta 10
  • 11. What do we mean by Effective Testing….. • It means… now onwards all over efforts should be for more and more effective testing…. It means…… • More fault coverage • Less test cost • Less test application time • Less test power • More yield 05-10-2019DrUshaMehta 11
  • 12. Functional vs Structural testing • For your hardware projects, the steps you follow are: • Specification • Design • Simulate (Verification – Functional Testing) • Fabricate • Testing (Structural Testing) • Observation of each component and wire working fine individually!, assumed that if it individual components are fine and connections are right, the PCB will produce intended functions correctly) • Easy and Fast compared to structural 12 DrUshaMehta05-10-2019
  • 13. Functional Test • Black Box Approach ď‚— Functional ATPG – generate complete set of tests for circuit input- output combinations â—¦ 129 inputs, 65 outputs: â—¦ 2129 = 680,564,733,841,876,92 6,926,749,214,863,536, 422,912 patterns â—¦ Using 1 GHz ATE, would take 2.15 x 1022 years Structural Test • White Box Approach ď‚— Structural test: â—¦ No redundant adder hardware, 64 bit slices â—¦ Each with 27 faults (using fault equivalence) â—¦ At most 64 x 27 = 1728 faults (tests) â—¦ Takes 0.000001728 s on 1 GHz ATE 05-10-2019DrUshaMehta 13
  • 14. Causes of the Defects in Circuit • Design Errors • Verification process will catch it • Fabrication Errors • Wrong component • Incorrect Wiring • Fabrication Defects • Imperfect Process Variations • Physical Failure • During life time of a system 05-10-2019DrUshaMehta 14
  • 15. Classifications of the Defects • Permanent • Intermittent • During some intervals • Transient • One time only 05-10-2019DrUshaMehta 15
  • 16. Structural Testing • Hardware components • Defects in Hardware • Its effect on output • Complete list of all possible defects in given circuits…… • The test which can prove the presence or absence of the defect from given list • Test set that can prove the presence or absence of all possible defects from given list 05-10-2019DrUshaMehta 16
  • 18. Defects, Errors, Faults….. • Defects: A defect in an electrical system is the unintended difference between the implemented hardware and its intended design • Process Defects: • missing contact window, parasitic transistors, etc. • Material Defect: • bulk defects, material impurities etc • Age Defects: • Dielectric Breakdown, electromigration etc. • Package Defects: • contact degradation, seal leak etc. • Errors • A wrong output signal produced by a defective system is called an error. • An error is an effect whose cause is some defect. • Faults • A representation of a defect at the abstracted level is called a fault. • The fault is imperfection in function while the defect is imperfection in hardware. 05-10-2019DrUshaMehta 18
  • 19. Defects modeled as Faults • Failure mode is used in reference to the manifestation of a "defect" at the electrical level. • Failure modes are modeled as faults at logic or behavioral level of abstraction. • At the logic level, failure mode can be interpreted in different ways. Physical defect Physical model 05-10-2019DrUshaMehta 19
  • 20. Why Models? Models • are easier to work with • are portable • can be used for simulation so avoid h/w requirement at early stage • Nearly all engineering systems are studied using models • are used to bridge the gap between physical reality and mathematical abstraction 05-10-2019DrUshaMehta 20
  • 21. Structural Fault Model • Considering at gate level schematic…. • Let’s start with listing all possible faults to be considered • For gate level schematic, fault may be in: • components (i.e. gate) • nets (i.e. connections) • Let’s assume components are fault free (not a good assumption?? but for a moment….let’s assume, we will justify the assumption later on….. ) 05-10-2019DrUshaMehta 21
  • 22. • So the nets are only culprits….. • The nets may be open or shorted with some other net • Let’s focus on nets shorted with some other one else and • neglect net open for a while. • Nets may be shorted with Vdd line, ground line or some other active net. • If net connected with some other net • bridge fault • Net connected to power line • stuck-at-1 fault, • Net connected to ground line • stuck-at-0 line 05-10-2019DrUshaMehta 22
  • 23. • We will consider bridge fault later on…….. • FOCUS ON STUCK-AT FAULTS ONLY • For a given fault model with k different types of faults that can occur at each of n different potential fault sites, • So for n nets, there are 3n-1 possible faulty conditions to be considered separately for stuck-at fault model. • Prepare the list for 05-10-2019DrUshaMehta 23
  • 24. Single Stuck at Fault (SSF) • Let’s consider, there is only one stuck at fault at a time, • Ignore multiple suck-at faults • Considering only single stuck-at a time…..2n possible faults 05-10-2019DrUshaMehta 24
  • 25. Stuck-at Faults, So classic, so legacy… • Eldred (1959) – First use of structural testing for the Honeywell Datamatic 1000 computer • Galey, Norby, Roth (1961) – First publication of stuck-at-0 and stuck-at-1 faults • Seshu & Freeman (1962) – Use of stuck-faults for parallel fault simulation • Poage (1963) – Theoretical analysis of stuck-at faults 05-10-2019DrUshaMehta 25
  • 26. Stuck-at Faults: Classical Faults • Why stuck-at faults are considered as classical faults? • They are found capable to detect other type of faults also. • Relates to yield modeling • Simple to use 05-10-2019DrUshaMehta 26
  • 27. Stuck-At Faults • Single Stuck-at fault • Only one line is faulty at a time • The faulty line is permanently stuck at either zero or one • Stuck at zero (s-a-0) • Stuck-at-one (s-a-1) 05-10-2019DrUshaMehta 27
  • 28. Example of single stuck-at fault • Taking an example of an AND gate as shown below: Inputs AB True Response Faulty Response A/0 B/0 Z/0 A/1 B/1 Z/1 00 0 0 0 0 0 0 1 01 0 0 0 0 1 0 1 10 0 0 0 0 0 1 1 11 1 0 0 0 1 1 1 05-10-2019DrUshaMehta 28
  • 29. Detectable Faults • For any fault/faults to be detectable, the output must have the different value compared to the error free output. For digital function, if error free output is 1, the erroneous output should be 0 and vice versa. • Zf(t) /= Z(t) Zf(t) XOR Z(t) = 1 05-10-2019DrUshaMehta 29
  • 30. Single Stuck At Fault • Find the test vector for given fault, 1100 0T(1F) 05-10-2019DrUshaMehta 30
  • 32. Redundant/Undetectable Fault • For which Zf(t) = Z(t) • As redundant fault do not change the functionality of circuit, should it be ignored?..... 05-10-2019DrUshaMehta 32
  • 33. Try this for a s-a-1…. • Undetectable fault a s-a-1 05-10-2019DrUshaMehta 33
  • 34. For b s-a-0 ?? • b s-a-0 is detected by t=1101 05-10-2019DrUshaMehta 34
  • 35. Multiple faults { a s-a-1, b s-a-0}…. • In presence of a s-a-1 undetectable fault, b is no longer detected by t=1101 but it is detected by t=0X0X 05-10-2019DrUshaMehta 35
  • 36. C s-a-1 is undetectable Z= AB, Zf=AB A s-a-0 is detectable by 110 Fault {C s-a-1, a s-a-0} is undetectable. 05-10-2019DrUshaMehta 36
  • 37. Effect of Undetectable Fault • If f is detectable fault and g is an undetectable fault, then f may become undetectable in presence of g. Such a fault f is called a second generation redundant fault. • Two undetectable single faults f and g may become detectable if simultaneously present. 05-10-2019DrUshaMehta 37
  • 38. Why single stuck-at fault? • If we consider multiple stuck at faults, we will have to consider total 3n-1 possible fault. Even for moderate n, the number of faults rises to a large amount. • Considering single-stuck at fault, this number reduces to 2n. • Further the single stuck-at fault gives a quite good fault coverage nearly 99%. • Frequent testing strategy But frequent testing is not enough in following condition. 1. Some physical faults manifest as multiple faults in high density chips 2. Prior to first testing in newly manufactured chip, multiple faults can exist 3. If testing experiment does not detect every fault, the circuit will contain undetectable fault every time. In most cases, a multiple fault can be detected by the tests designed for the individual single faults that can compose the multiple one. So single fault assumption is mostly adopted. 05-10-2019DrUshaMehta 38
  • 39. Fault Equivalence (by structural approach) • Two faults of a Boolean circuit are called equivalent iff they transform the circuit such that the two faulty circuits have identical output functions. Equivalent faults are also called indistinguishable and have exactly the same set of tests. 05-10-2019DrUshaMehta 39
  • 40. Fault Equivalence at Fan-out Branches • The stuck-at fault on stem is equivalent to multiple stuck-at fault on all branches. Prove this. • A • X s-a-0, the test set is a1, x0, y1 • Y s-a-0, the test set is a1, x1, y0 • A s-a-0, the test set is a1, x0, y0 • X, Y, A s-a-0 are not equivalent but A s-a-0 is equivalent to multiple fault {x s-a-0, y s-a-0} X Y 05-10-2019DrUshaMehta 40
  • 41. Fault Equivalence (by functional approach) • What is the relation between F1, F2, F3 and F4? 05-10-2019DrUshaMehta 41
  • 42. Fault Collapsing • The input to output pass? or output to input pass? • s-a-0 at d to keep or s-a-0 at e to keep or s-a-1 at g to keep? Why? • Input to output pass. Because the Boolean gate has always single output and collapsing is not possible for fanout. So no one has to choose one i/p from multiple i/p. The selection of i/p can affect the overall no. of fault reduction. • Collapse Ratio = # of faults in collapsed fault set/ # all faults 05-10-2019DrUshaMehta 42
  • 43. Example of Fault Collapsing 05-10-2019DrUshaMehta 43
  • 44. Fault Dominance • If all test of some fault f2 detects another fault f1, then f1 is said to dominate f2. f1 is removed from fault list. 05-10-2019DrUshaMehta 44
  • 45. Let’s Develop our own EDA tool for fault equivalence……. 05-10-2019DrUshaMehta 45
  • 46. • Express circuit at gate level as a program consisting of interconnected logic operations • External representation in the form of netlist…ISCAS format, uv fomat, EDIF format… • Execute the program on netlist to determine the circuit output for varying input. 05-10-2019DrUshaMehta 46
  • 48. Steps to develop EDA tool…. • Let’s summarize how we will do it…… 05-10-2019DrUshaMehta 48
  • 50. Transistor (Switch) Faults • MOS transistor is considered an ideal switch and two types of faults are modeled: • Stuck-open -- a single transistor is permanently stuck in the open state. • Stuck-short -- a single transistor is permanently shorted irrespective of its gate voltage. • Detection of a stuck-open fault requires two vectors. • Detection of a stuck-short fault requires the measurement of quiescent current (IDDQ). 05-10-2019DrUshaMehta 50
  • 51. Stuck-Open Fault Two-vector s-op test can be constructed by ordering two s-at tests A B VDD C pMOS FETs nMOS FETs Stuck- open 1 0 0 0 0 1(Z) Good circuit states Faulty circuit states Vector 1: test for A s-a-0 (Initialization vector) Vector 2 (test for A s-a-1) 05-10-2019DrUshaMehta 51
  • 53. Modelling of Open Faults • Stuck open fault of a pMOS can be modelled as a s-a-1 fault at the corresponding input signal • Stuck open fault of a nMOS can be modelled as a s-a-o fault at the corresponding input signal • One more reason why stuck-at are called classical faults!!! 05-10-2019DrUshaMehta 53
  • 54. Stuck-Short Example A B VDD C pMOS FETs nMOS FETs Stuck- short 1 0 0 (X) Good circuit state Faulty circuit state Test vector for A s-a-0 IDDQ path in faulty circuit 05-10-2019DrUshaMehta 54
  • 56. Bridge Fault • After single stuck-at faults, bridge faults are the most important class of faults. • Most commonly occurring type of fault. • Simplified model assumes 0 resistance (short) between two lines (dotted line in the figure) 05-10-2019DrUshaMehta 56
  • 57. Wired AND –Wired OR 05-10-2019DrUshaMehta 57
  • 58. Wired AND/OR • Depends on types of Gates driving the shorted lines and inputs to the Gates 05-10-2019DrUshaMehta 58
  • 59. Not known to fault models… 05-10-2019DrUshaMehta 59
  • 61. Dominant bridging Faults cont… 05-10-2019DrUshaMehta 61
  • 62. • Wired-AND • y=0 --> x is s-a-0 • Test for bridge fault: • Set y to 0 and test for x s-a-0 –or- • Set x to 0 and test for y s-a-0 • Wired-OR • y=1 --> x is s-a-1 • Test for bridge fault: • Set y to 1 and test for x s-a-1 –or- • Set x to 1 and test for y s-a-1 • Dominant driver • x always outdrives y • y always outdrives x 05-10-2019DrUshaMehta 62
  • 63. Bridge Fault cont…. • Need to consider drive strengths of bridged nodes to determine voltage level. • Gates driven by the bridged nodes may interpret the voltage level differently, depending on their logic threshold voltages. • The faulty logic value depends on: • The relative strength of pull- up and pull-down network • The number of transistors that are activated in conflicting network 05-10-2019DrUshaMehta 63
  • 65. Feedback Bridge Faults • In a feedback bridge fault, there exists at least one path between the two bridged nodes. • The back line b is the line closest to the PI’s. • The front line f is the line closest to the PO’s. • AND: • set b=0 and test for f s-a-0 (no logical feedback) • set f=0 and test for b s-a-0, but not through f (i.e., f is not sensitive to b). • OR: ??? 05-10-2019DrUshaMehta 65
  • 66. IDDQ Testing • It relies on measuring the supply current (Idd) in the quiescent state (when the circuit is not switching and inputs are held at static values). The current consumed in the state is commonly called Iddq for Idd (quiescent) and hence the name. • IDDQ testing refers to the integrated circuit (IC) testing method based upon measurement of steady state power-supply current. • Iddq stands for quiescent Idd, or quiescent power-supply current. 05-10-2019DrUshaMehta 66
  • 67. IDDQ Testing cont…. • In case of a defect such as gate-oxide short or short between two metal lines, a conduction path from power- supply (Vdd) to ground (Gnd) is formed and subsequently the circuit dissipates significantly high current. • This faulty current is a few orders of magnitude higher than the fault-free leakage current. • Iddq testing provides physical defect oriented testing • SoCs contain huge number of transistors • Summation of leakage current of all transistors becomes too large to distinguish between faulty and fault-free chips • Most of the SoCs contain multiple power supplies • Iddq testing is done on one power supply at a time 05-10-2019DrUshaMehta 67
  • 68. IDDQ Testing…..cont…. • Measure IDDQ current through Vss bus 05-10-2019DrUshaMehta 68
  • 69. IDDT testing • When a CMOS circuit switches state, a momentary path is established between the supply lines and results in dynamic current IDDT • IDDT exhibits spikes every time circuit switches. The magnitude and frequency components of the waveform depends upon switching activity. • By observing the magnitude and frequency spectrum of IDDT, addition diagnostic information about possible defects unmatched with IDDQ and other methods can be found. 05-10-2019DrUshaMehta 69