SlideShare a Scribd company logo
1 of 28
AMBA 2.0
(ADVANCE MICROCONTROLLER
BUS ARCHITECTURE)
Submitted by:- MAYANK PRAJAPATI
(180203111033)
Introduction to AMBA
• It is an on chip communication standard for designing high
performance embedded microcontroller.
• There are three different busses in AMBA(2.0)
• AHB (Advanced High-performance Bus)
• ASB (Advanced System Bus)
• APB (Advanced Peripheral Bus)
Evolution of AMBA Specification
Typical AMBA based microcontroller
High bandwidth
external
memory
interface
High- performance
ARM processor
DMA bus
master
High bandwidth
on-chip RAM
B
R
I
D
G
E
UART Timer
Keypad PIO
AHB or ASB
APB
AHB to APB bridge
or
ASP to APB bridge
Features of AMBA
• It allows multiple masters use the address and data bus via some
arbitration.
• Arbitration is the interface between master and AMBA system
bus, it means according to the address it gives the access to
master to transfer data at given address.
• Only one master can access the bus at any given time.
• Allows decoding of addresses issued by multiple masters
• Allows peripheral device to connect to the system bus via bridge.
AHB (Advanced High-performance Bus)
• It is high performance system bus that supports multiple bus
masters and provides high bandwidth operation.
• Basic features
1. High performance
2. Pipelined operation
3. Multiple bus masters
4. Burst transfer
5. Split transection
Master
#1
Slave
#1
Arbiter
Master
#2
Master
#3
Slave
#2
Slave
#3
Slave
#4
Add, &
Cont. Mux
Write data
Mux
Read data
Mux
HRDATA HWDATA HADDR
Architecture of AHB
Decoder
Architecture of AHB
• There are Three sub busses
• HADDR (it is the address bus of the system)
• HWDATA (it is the write data bus of system, during the write operation
data transfer take place between master and slave.)
• HRDATA (it is the read data bus of system, during the read operation data
transfer take place between slave and master.)
• AHB bus contains of
• AHB Master
• AHB Slave
• AHB Arbiter
• AHB Decoder
Continue……
• AHB Master
• It is able to initiate read & write operation by providing an
address and control information.
• Only single master is allowed to transfer data at one time.
• AHB Slave
• According to generated address & control signal appropriate
slave will read or write according to control signal.
• AHB Arbiter
• The main function of arbiter is what operation should execute
and selection of master and slave for that operation.
Continue……
• AHB Decoder
• Here there is separated bus for read (slave to master comm.)
operation so for selection of master or destination of data
Decoder is used.
• Basically first slave send address to select appropriate master
and after that it will sends data to it.
Control Signals of AHB
HCLK It is a clock signal of AHB bus system. Every operation is executed at rising edge of clock pulse.
HRESETn It is a active low reset signal. It is used to reset the bus and system.
HADDR[31:0] It is 32 bit address bus. It use to select the AHB slave of system.
HTRANS[1:0]
These are a status signals. It is used to indicate the type of transfer.
[1. NON-SEQUENTIAL 2. SEQUENTIAL 3.IDLE 4.BUSY]
HWRITE
It is a control signal which is used to select the operation of read/write
High: Write operation (master to slave)
Low: Read operation (slave to master)
HSIZE[2:0] It indicates the size of data transferring (8/16/32 bit). The maximum size of data is 1024 bit.
HBURST[2.0] It indicates data is transmitting bit by bit or in burst(4/8/16 bit).
HPROT[3:0]
It is the protection control signal. which is used provide additional information about a bus access
and are primarily intended for use by any module that wishes to implement some level of
protection.
Control Signals of AHB
HWDATA[31:0] It is 32 bit wide unidirectional data bus. It is used to transfer data between master to slave.
HSELx In AHB system there are multiple AHB slave. To select an AHB slave this control signal is used.
HRDATA[31:0] It is 32 bit wide unidirectional data bus. It is used to transfer data between slave to master.
HREADY
High : data transfer is finished
Low : data transfer is not finished
HRSEP[1:0]
It is a status signal. Which indicates the transfer response.
[1.OKAY 2.ERROR 3.RETRY 4.SPLIT]
Interfacing Diagram of AHB
HSELx
HADDR[31:0]
HWRITE
HTRANS[1:0]
HSIZE[2:0]
HBURST[2:0]
HRESETn
HWDATA[31:0]
HCLK
HMASTER[3:0]
HMASTLOCK
HREADY
HRSEP[1:0]
HSPLITx[15:0]
HRDATA[31:0]
AHB
SLAVE
SPLIT
CAPABLE
SLAVE
ADDRESS
AND CONTROL
SELECT
RESET
CLOCK
DATA
TRANSFER
RESPONSE
DATA
AHB Basic Data Transfer
ASB (Advanced System Bus)
• It is the first generation of AMBA system bus.
• In this bus system it contain one or more bus masters.
• Features of ASB
• Burst transfer
• Pipelined transfer operation
• Multiple bus master
Control Signals of ASB
AGNTx
It is a signal from bus arbiter to bus master x. It indicates that the bus master will be granted
when BWAIT is low.
AREQx
It is signal from bus master x to bus arbiter. It indicates that the bus master requests to the bus
arbiter for bus. All master have its own AREQ.
BA[31:0] It is a 32 bit wide address bus. It is used to select the address of ASB slave.
BCLK it is bus clock of the system. All operation or data transfer perform at HIGH or LOW level of clock.
BD[31:0]
It is 32 bit wide bi-directional data bus, which is used to transfers data from bus master to bus
slave and vice-versa.
BERROR
It is a status signal, which indicates the transfer error.
HIGH: transfer error has occurred.
LOW: transfer error has not occurred.
BnRES It is active low reset signal, which is used to reset the ASB system and bus.
BSIZE[1:0]
It is control signal, which is used to select the transfer size of bus.
The transfer size will be 8/16/32 bit.
Control Signals of ASB
BPROT[1:0]
It is the protection control signal. which is used provide additional information about a bus access
and are primarily intended for use by a bus decoder when acting as a basic protection unit.
BTRAN[1:0]
It is the control signal. Which is used to select the transfer type.
[1.ADDRESS ONLY 2.NON-SEQUENTIAL 3. SEQUENTIAL]
BWAIT
It is the signal driven by the selected bus slave to indicate if the current transfer may complete.
HIGH: further bus cycle is required.
LOW: the transfer may complete in current bus cycle.
BWRITE
HIGH: write transfer (master to slave)
LOW: read transfer (slave to master)
DSELx
It is a signal from the bus decoder to bus slave x, which indicates that the slave device is selected
and data transfer is required.
Typical AMBA ASB based microcontroller
High bandwidth
external
memory
interface
High- performance
ARM processor
DMA bus
master
High bandwidth
on-chip RAM
B
R
I
D
G
E
UART Timer
Keypad PIO
ASB
APB
ASP to APB bridge
DSEL
BA [31:0]
BWRITE
BSIZE[1:0]
BnRES
BCLK
BWAIT
BERROR
AHB
SLAVE
TRANSFER
RESPONSE
ASB interface diagram
BLAST
BD [31:0]
SELECT
ADDRESS
AND CONTROL
RESET
CLOCK
DATA
APB (Advanced Peripheral Bus)
• The AMBA APB bus is used to interface low bandwidth
peripheral to AHB or ASB which does not require high
performance pipeline interface.
• APB is connected with AHB or ASB with bridge. Which is used to
convert suitable format for slave device on the APB.
• The bridge provides latching of all address, data and control
signals as well as providing a second level of decoding to
generate slave select signals for the APB peripherals.
Control Signals of APB
PCLK It is the bus clock of APB system. Every transfer occurred at rising edge of clock.
PRESETn It is active low reset signal. It is used to reset the APB system and APB bus.
PADDR[31:0] It is 32 bit wide address bus which is used to select the APB slave address.
PSELx
This signal indicates that the slave device is selected and data transfer is require. There is a PSELx
signal for each bus slave.
PENABLE
It is a strobe signal. This signal is used to start data transfer. It occurs after rising clock when PSELx
is genrated.
PWRITE
High: APB write access (AHB or ASB master to APB slave)
Low: APB read access (APB slave to AHB or ASB master)
PRDTA
It is 32 bit wide unidirectional read data bus. Which is used to transfer data between APB slave to
AHB or ASB master.
PWDATA
It is 32 bit wide unidirectional write data bus. Which is used to transfer data between AHB or ASB
master to APB slave.
APB Specification
• APB specification is described under the following headings:
• State diagram
• Write transfer
• Read transfer
State Diagram
• The state diagram is used to represent the activity
of the APB bus.
• There are three operation state 1.IDLE 2.SETUP
3.ENABLE
• IDLE: in this state PSELx and PENABLE are low.
Which means that the AHB or ASB master does not
select any slave for communication and master
does not require any data transfer.
• SETUP: In this state PSELx is high and PENABLE is
low. Which means that master is selecting
appropriate APB slave for communication. But data
transfer can’t take place because the PENABLE is
low.
• ENABLE: In this state both PENABLE and PSELx
both are high. Which means APB slave is selected
and data transfer take place.
WRITE TRANSFER
• The right transfer starts with the
address, write data, write signal and
select signal.
• All changing after the rising edge of the
clock.
• The first clock cycle of transfer is called
the SETUP cycle.
• After the following clock edge the
enable signal is occurred and this
indicates that the ENABLE cycle is taking
place.
• All other signals are valid till the
ENABLE cycle. After ENABLE cycle the
communication is stopped.
• To reduce power consumption the
address and write signal will not change
until next access occurs.
READ TRANSFER
• The timing of the address,
write, select and strobe signals
are same as write transfer.
• In case of read the slave must
provide the data during
ENABLE cycle.
• The data is transferring at
rising edge of clock when
ENABLE signal is occurred.
PADDR
PRESETn
PCLK
PSEL1
PSEL2
PWRITE
PSELx
APB
BRIDGE
APB interface diagram
PENABLE
SYSTEM BUS
SLAVE
INTERFACE
READ DATA
CLOCK
BD [31:0]
PWDATA
PRDATA
RESET
SELECTS
STROBE
ADDRESS
AND
CONTROL
WRITE DATA
APB Bridge Description
• The bridge unit converts system bus transfers into APB transfers
perform following function:
• It latches the address and holds it valid throughout transfers.
• It decodes the address and generates a peripheral select
(PSELx). only one select signal can be active during transfer.
• It drives the data onto the APB for a write transfer.
• It drives the APB data onto the system bus for a read transfer.
• It generates the timing strobe, PENABLE, for the transfer.
THANK YOU

More Related Content

What's hot

Fpga implemented ahb protocol
Fpga implemented ahb protocolFpga implemented ahb protocol
Fpga implemented ahb protocol
iaemedu
 

What's hot (20)

APB protocol v1.0
APB protocol v1.0APB protocol v1.0
APB protocol v1.0
 
AMBA AHB 5
AMBA AHB 5AMBA AHB 5
AMBA AHB 5
 
Amba presentation2
Amba presentation2Amba presentation2
Amba presentation2
 
AHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxAHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptx
 
Serial Peripheral Interface
Serial Peripheral InterfaceSerial Peripheral Interface
Serial Peripheral Interface
 
Fpga implemented ahb protocol
Fpga implemented ahb protocolFpga implemented ahb protocol
Fpga implemented ahb protocol
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
 
AMBA 2.0 PPT
AMBA 2.0 PPTAMBA 2.0 PPT
AMBA 2.0 PPT
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
 
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
 
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
 
SPI introduction(Serial Peripheral Interface)
SPI introduction(Serial Peripheral Interface)SPI introduction(Serial Peripheral Interface)
SPI introduction(Serial Peripheral Interface)
 
SPI Bus Protocol
SPI Bus ProtocolSPI Bus Protocol
SPI Bus Protocol
 
Amba axi 29 3_2015
Amba axi 29 3_2015Amba axi 29 3_2015
Amba axi 29 3_2015
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
Axi
AxiAxi
Axi
 
AMBA BUS.pptx
AMBA BUS.pptxAMBA BUS.pptx
AMBA BUS.pptx
 
axi protocol
axi protocolaxi protocol
axi protocol
 
AXI Protocol.pptx
AXI Protocol.pptxAXI Protocol.pptx
AXI Protocol.pptx
 
Amba bus
Amba busAmba bus
Amba bus
 

Similar to AMBA 2.0

Micro channel architecture
Micro channel architectureMicro channel architecture
Micro channel architecture
Gichelle Amon
 
ahb to convert apb bridge presentation ppt
ahb to convert  apb bridge presentation pptahb to convert  apb bridge presentation ppt
ahb to convert apb bridge presentation ppt
SandeepGowda53
 
Design and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeDesign and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridge
Manu BN
 

Similar to AMBA 2.0 (20)

Diagnostic Access of AMBA-AHB Communication Protocols
Diagnostic Access of AMBA-AHB Communication ProtocolsDiagnostic Access of AMBA-AHB Communication Protocols
Diagnostic Access of AMBA-AHB Communication Protocols
 
AMBA AHB Protocols
AMBA AHB ProtocolsAMBA AHB Protocols
AMBA AHB Protocols
 
Ppt micro fianle (1)
Ppt micro fianle (1)Ppt micro fianle (1)
Ppt micro fianle (1)
 
APB2SPI.pptx
APB2SPI.pptxAPB2SPI.pptx
APB2SPI.pptx
 
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
 
Micro channel architecture
Micro channel architectureMicro channel architecture
Micro channel architecture
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
8051d
8051d8051d
8051d
 
8251 USART.pptx
8251 USART.pptx8251 USART.pptx
8251 USART.pptx
 
ahb to convert apb bridge presentation ppt
ahb to convert  apb bridge presentation pptahb to convert  apb bridge presentation ppt
ahb to convert apb bridge presentation ppt
 
8251 USART
8251 USART8251 USART
8251 USART
 
Arm organization and implementation
Arm organization and implementationArm organization and implementation
Arm organization and implementation
 
8251 a basic
8251 a basic8251 a basic
8251 a basic
 
Design and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeDesign and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridge
 
mod 3-1.pptx
mod 3-1.pptxmod 3-1.pptx
mod 3-1.pptx
 
High Bandwidth Memory(HBM)
High Bandwidth Memory(HBM)High Bandwidth Memory(HBM)
High Bandwidth Memory(HBM)
 
8255-PPI MPMC text book for engineering.ppt
8255-PPI MPMC text book for engineering.ppt8255-PPI MPMC text book for engineering.ppt
8255-PPI MPMC text book for engineering.ppt
 
Usart 8251
Usart 8251Usart 8251
Usart 8251
 
final
finalfinal
final
 
REGISTER TRANSFER AND MICRO OPERATIONS
REGISTER TRANSFER AND MICRO OPERATIONSREGISTER TRANSFER AND MICRO OPERATIONS
REGISTER TRANSFER AND MICRO OPERATIONS
 

Recently uploaded

Activity Planning: Objectives, Project Schedule, Network Planning Model. Time...
Activity Planning: Objectives, Project Schedule, Network Planning Model. Time...Activity Planning: Objectives, Project Schedule, Network Planning Model. Time...
Activity Planning: Objectives, Project Schedule, Network Planning Model. Time...
Lovely Professional University
 

Recently uploaded (20)

Software Engineering - Modelling Concepts + Class Modelling + Building the An...
Software Engineering - Modelling Concepts + Class Modelling + Building the An...Software Engineering - Modelling Concepts + Class Modelling + Building the An...
Software Engineering - Modelling Concepts + Class Modelling + Building the An...
 
Supermarket billing system project report..pdf
Supermarket billing system project report..pdfSupermarket billing system project report..pdf
Supermarket billing system project report..pdf
 
RM&IPR M5 notes.pdfResearch Methodolgy & Intellectual Property Rights Series 5
RM&IPR M5 notes.pdfResearch Methodolgy & Intellectual Property Rights Series 5RM&IPR M5 notes.pdfResearch Methodolgy & Intellectual Property Rights Series 5
RM&IPR M5 notes.pdfResearch Methodolgy & Intellectual Property Rights Series 5
 
Theory for How to calculation capacitor bank
Theory for How to calculation capacitor bankTheory for How to calculation capacitor bank
Theory for How to calculation capacitor bank
 
Instruct Nirmaana 24-Smart and Lean Construction Through Technology.pdf
Instruct Nirmaana 24-Smart and Lean Construction Through Technology.pdfInstruct Nirmaana 24-Smart and Lean Construction Through Technology.pdf
Instruct Nirmaana 24-Smart and Lean Construction Through Technology.pdf
 
Quiz application system project report..pdf
Quiz application system project report..pdfQuiz application system project report..pdf
Quiz application system project report..pdf
 
Lab Manual Arduino UNO Microcontrollar.docx
Lab Manual Arduino UNO Microcontrollar.docxLab Manual Arduino UNO Microcontrollar.docx
Lab Manual Arduino UNO Microcontrollar.docx
 
Geometric constructions Engineering Drawing.pdf
Geometric constructions Engineering Drawing.pdfGeometric constructions Engineering Drawing.pdf
Geometric constructions Engineering Drawing.pdf
 
Linux Systems Programming: Semaphores, Shared Memory, and Message Queues
Linux Systems Programming: Semaphores, Shared Memory, and Message QueuesLinux Systems Programming: Semaphores, Shared Memory, and Message Queues
Linux Systems Programming: Semaphores, Shared Memory, and Message Queues
 
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdf
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdfInvolute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdf
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdf
 
Fabrication Of Automatic Star Delta Starter Using Relay And GSM Module By Utk...
Fabrication Of Automatic Star Delta Starter Using Relay And GSM Module By Utk...Fabrication Of Automatic Star Delta Starter Using Relay And GSM Module By Utk...
Fabrication Of Automatic Star Delta Starter Using Relay And GSM Module By Utk...
 
Insurance management system project report.pdf
Insurance management system project report.pdfInsurance management system project report.pdf
Insurance management system project report.pdf
 
BRAKING SYSTEM IN INDIAN RAILWAY AutoCAD DRAWING
BRAKING SYSTEM IN INDIAN RAILWAY AutoCAD DRAWINGBRAKING SYSTEM IN INDIAN RAILWAY AutoCAD DRAWING
BRAKING SYSTEM IN INDIAN RAILWAY AutoCAD DRAWING
 
Activity Planning: Objectives, Project Schedule, Network Planning Model. Time...
Activity Planning: Objectives, Project Schedule, Network Planning Model. Time...Activity Planning: Objectives, Project Schedule, Network Planning Model. Time...
Activity Planning: Objectives, Project Schedule, Network Planning Model. Time...
 
Research Methodolgy & Intellectual Property Rights Series 1
Research Methodolgy & Intellectual Property Rights Series 1Research Methodolgy & Intellectual Property Rights Series 1
Research Methodolgy & Intellectual Property Rights Series 1
 
Filters for Electromagnetic Compatibility Applications
Filters for Electromagnetic Compatibility ApplicationsFilters for Electromagnetic Compatibility Applications
Filters for Electromagnetic Compatibility Applications
 
BURGER ORDERING SYSYTEM PROJECT REPORT..pdf
BURGER ORDERING SYSYTEM PROJECT REPORT..pdfBURGER ORDERING SYSYTEM PROJECT REPORT..pdf
BURGER ORDERING SYSYTEM PROJECT REPORT..pdf
 
Lesson no16 application of Induction Generator in Wind.ppsx
Lesson no16 application of Induction Generator in Wind.ppsxLesson no16 application of Induction Generator in Wind.ppsx
Lesson no16 application of Induction Generator in Wind.ppsx
 
Introduction to Heat Exchangers: Principle, Types and Applications
Introduction to Heat Exchangers: Principle, Types and ApplicationsIntroduction to Heat Exchangers: Principle, Types and Applications
Introduction to Heat Exchangers: Principle, Types and Applications
 
Circuit Breaker arc phenomenon.pdf engineering
Circuit Breaker arc phenomenon.pdf engineeringCircuit Breaker arc phenomenon.pdf engineering
Circuit Breaker arc phenomenon.pdf engineering
 

AMBA 2.0

  • 1. AMBA 2.0 (ADVANCE MICROCONTROLLER BUS ARCHITECTURE) Submitted by:- MAYANK PRAJAPATI (180203111033)
  • 2. Introduction to AMBA • It is an on chip communication standard for designing high performance embedded microcontroller. • There are three different busses in AMBA(2.0) • AHB (Advanced High-performance Bus) • ASB (Advanced System Bus) • APB (Advanced Peripheral Bus)
  • 3. Evolution of AMBA Specification
  • 4. Typical AMBA based microcontroller High bandwidth external memory interface High- performance ARM processor DMA bus master High bandwidth on-chip RAM B R I D G E UART Timer Keypad PIO AHB or ASB APB AHB to APB bridge or ASP to APB bridge
  • 5. Features of AMBA • It allows multiple masters use the address and data bus via some arbitration. • Arbitration is the interface between master and AMBA system bus, it means according to the address it gives the access to master to transfer data at given address. • Only one master can access the bus at any given time. • Allows decoding of addresses issued by multiple masters • Allows peripheral device to connect to the system bus via bridge.
  • 6. AHB (Advanced High-performance Bus) • It is high performance system bus that supports multiple bus masters and provides high bandwidth operation. • Basic features 1. High performance 2. Pipelined operation 3. Multiple bus masters 4. Burst transfer 5. Split transection
  • 7. Master #1 Slave #1 Arbiter Master #2 Master #3 Slave #2 Slave #3 Slave #4 Add, & Cont. Mux Write data Mux Read data Mux HRDATA HWDATA HADDR Architecture of AHB Decoder
  • 8. Architecture of AHB • There are Three sub busses • HADDR (it is the address bus of the system) • HWDATA (it is the write data bus of system, during the write operation data transfer take place between master and slave.) • HRDATA (it is the read data bus of system, during the read operation data transfer take place between slave and master.) • AHB bus contains of • AHB Master • AHB Slave • AHB Arbiter • AHB Decoder
  • 9. Continue…… • AHB Master • It is able to initiate read & write operation by providing an address and control information. • Only single master is allowed to transfer data at one time. • AHB Slave • According to generated address & control signal appropriate slave will read or write according to control signal. • AHB Arbiter • The main function of arbiter is what operation should execute and selection of master and slave for that operation.
  • 10. Continue…… • AHB Decoder • Here there is separated bus for read (slave to master comm.) operation so for selection of master or destination of data Decoder is used. • Basically first slave send address to select appropriate master and after that it will sends data to it.
  • 11. Control Signals of AHB HCLK It is a clock signal of AHB bus system. Every operation is executed at rising edge of clock pulse. HRESETn It is a active low reset signal. It is used to reset the bus and system. HADDR[31:0] It is 32 bit address bus. It use to select the AHB slave of system. HTRANS[1:0] These are a status signals. It is used to indicate the type of transfer. [1. NON-SEQUENTIAL 2. SEQUENTIAL 3.IDLE 4.BUSY] HWRITE It is a control signal which is used to select the operation of read/write High: Write operation (master to slave) Low: Read operation (slave to master) HSIZE[2:0] It indicates the size of data transferring (8/16/32 bit). The maximum size of data is 1024 bit. HBURST[2.0] It indicates data is transmitting bit by bit or in burst(4/8/16 bit). HPROT[3:0] It is the protection control signal. which is used provide additional information about a bus access and are primarily intended for use by any module that wishes to implement some level of protection.
  • 12. Control Signals of AHB HWDATA[31:0] It is 32 bit wide unidirectional data bus. It is used to transfer data between master to slave. HSELx In AHB system there are multiple AHB slave. To select an AHB slave this control signal is used. HRDATA[31:0] It is 32 bit wide unidirectional data bus. It is used to transfer data between slave to master. HREADY High : data transfer is finished Low : data transfer is not finished HRSEP[1:0] It is a status signal. Which indicates the transfer response. [1.OKAY 2.ERROR 3.RETRY 4.SPLIT]
  • 13. Interfacing Diagram of AHB HSELx HADDR[31:0] HWRITE HTRANS[1:0] HSIZE[2:0] HBURST[2:0] HRESETn HWDATA[31:0] HCLK HMASTER[3:0] HMASTLOCK HREADY HRSEP[1:0] HSPLITx[15:0] HRDATA[31:0] AHB SLAVE SPLIT CAPABLE SLAVE ADDRESS AND CONTROL SELECT RESET CLOCK DATA TRANSFER RESPONSE DATA
  • 14. AHB Basic Data Transfer
  • 15. ASB (Advanced System Bus) • It is the first generation of AMBA system bus. • In this bus system it contain one or more bus masters. • Features of ASB • Burst transfer • Pipelined transfer operation • Multiple bus master
  • 16. Control Signals of ASB AGNTx It is a signal from bus arbiter to bus master x. It indicates that the bus master will be granted when BWAIT is low. AREQx It is signal from bus master x to bus arbiter. It indicates that the bus master requests to the bus arbiter for bus. All master have its own AREQ. BA[31:0] It is a 32 bit wide address bus. It is used to select the address of ASB slave. BCLK it is bus clock of the system. All operation or data transfer perform at HIGH or LOW level of clock. BD[31:0] It is 32 bit wide bi-directional data bus, which is used to transfers data from bus master to bus slave and vice-versa. BERROR It is a status signal, which indicates the transfer error. HIGH: transfer error has occurred. LOW: transfer error has not occurred. BnRES It is active low reset signal, which is used to reset the ASB system and bus. BSIZE[1:0] It is control signal, which is used to select the transfer size of bus. The transfer size will be 8/16/32 bit.
  • 17. Control Signals of ASB BPROT[1:0] It is the protection control signal. which is used provide additional information about a bus access and are primarily intended for use by a bus decoder when acting as a basic protection unit. BTRAN[1:0] It is the control signal. Which is used to select the transfer type. [1.ADDRESS ONLY 2.NON-SEQUENTIAL 3. SEQUENTIAL] BWAIT It is the signal driven by the selected bus slave to indicate if the current transfer may complete. HIGH: further bus cycle is required. LOW: the transfer may complete in current bus cycle. BWRITE HIGH: write transfer (master to slave) LOW: read transfer (slave to master) DSELx It is a signal from the bus decoder to bus slave x, which indicates that the slave device is selected and data transfer is required.
  • 18. Typical AMBA ASB based microcontroller High bandwidth external memory interface High- performance ARM processor DMA bus master High bandwidth on-chip RAM B R I D G E UART Timer Keypad PIO ASB APB ASP to APB bridge
  • 19. DSEL BA [31:0] BWRITE BSIZE[1:0] BnRES BCLK BWAIT BERROR AHB SLAVE TRANSFER RESPONSE ASB interface diagram BLAST BD [31:0] SELECT ADDRESS AND CONTROL RESET CLOCK DATA
  • 20. APB (Advanced Peripheral Bus) • The AMBA APB bus is used to interface low bandwidth peripheral to AHB or ASB which does not require high performance pipeline interface. • APB is connected with AHB or ASB with bridge. Which is used to convert suitable format for slave device on the APB. • The bridge provides latching of all address, data and control signals as well as providing a second level of decoding to generate slave select signals for the APB peripherals.
  • 21. Control Signals of APB PCLK It is the bus clock of APB system. Every transfer occurred at rising edge of clock. PRESETn It is active low reset signal. It is used to reset the APB system and APB bus. PADDR[31:0] It is 32 bit wide address bus which is used to select the APB slave address. PSELx This signal indicates that the slave device is selected and data transfer is require. There is a PSELx signal for each bus slave. PENABLE It is a strobe signal. This signal is used to start data transfer. It occurs after rising clock when PSELx is genrated. PWRITE High: APB write access (AHB or ASB master to APB slave) Low: APB read access (APB slave to AHB or ASB master) PRDTA It is 32 bit wide unidirectional read data bus. Which is used to transfer data between APB slave to AHB or ASB master. PWDATA It is 32 bit wide unidirectional write data bus. Which is used to transfer data between AHB or ASB master to APB slave.
  • 22. APB Specification • APB specification is described under the following headings: • State diagram • Write transfer • Read transfer
  • 23. State Diagram • The state diagram is used to represent the activity of the APB bus. • There are three operation state 1.IDLE 2.SETUP 3.ENABLE • IDLE: in this state PSELx and PENABLE are low. Which means that the AHB or ASB master does not select any slave for communication and master does not require any data transfer. • SETUP: In this state PSELx is high and PENABLE is low. Which means that master is selecting appropriate APB slave for communication. But data transfer can’t take place because the PENABLE is low. • ENABLE: In this state both PENABLE and PSELx both are high. Which means APB slave is selected and data transfer take place.
  • 24. WRITE TRANSFER • The right transfer starts with the address, write data, write signal and select signal. • All changing after the rising edge of the clock. • The first clock cycle of transfer is called the SETUP cycle. • After the following clock edge the enable signal is occurred and this indicates that the ENABLE cycle is taking place. • All other signals are valid till the ENABLE cycle. After ENABLE cycle the communication is stopped. • To reduce power consumption the address and write signal will not change until next access occurs.
  • 25. READ TRANSFER • The timing of the address, write, select and strobe signals are same as write transfer. • In case of read the slave must provide the data during ENABLE cycle. • The data is transferring at rising edge of clock when ENABLE signal is occurred.
  • 26. PADDR PRESETn PCLK PSEL1 PSEL2 PWRITE PSELx APB BRIDGE APB interface diagram PENABLE SYSTEM BUS SLAVE INTERFACE READ DATA CLOCK BD [31:0] PWDATA PRDATA RESET SELECTS STROBE ADDRESS AND CONTROL WRITE DATA
  • 27. APB Bridge Description • The bridge unit converts system bus transfers into APB transfers perform following function: • It latches the address and holds it valid throughout transfers. • It decodes the address and generates a peripheral select (PSELx). only one select signal can be active during transfer. • It drives the data onto the APB for a write transfer. • It drives the APB data onto the system bus for a read transfer. • It generates the timing strobe, PENABLE, for the transfer.