SlideShare a Scribd company logo
A Presentation on
VLSI Technology
BY:UTKARSH KULSHRESTHA
CONTENTS
 Introduction

to VLSI
 Hardware description
language
 Verilog coding
 Vending machine
2
VLSI


VLSI is very large scale integration
technology.



It is related to the chip designing.



Chip designing is comprises of two areas:1. FRONT END
2. BACK END



VLSI uses CMOS technology
3
VLSI
BJT-PNP
BJT-NPN

MOSFET

PMOS

NMOS

CMOS
4
Hardware Description
Languages


Basic idea is a programming language to describe
hardware



Synthesis tools allow direct implementation from HDL
code.



Combined with modern Field Programmable Gate Array
chips large complex circuits (100000s of gates) can be
implemented.



There are many HDL’s as:1. ABEL
2. AHDL
3. VHDL
4. Verilog HDL
5. System Verilog

5
Verilog HDL
 Launched

in 1984 by CADENCE.

 Verilog

is Unlike VHDL is a case
sensitive language and uses
lower case.

 It

is a CONCURRENT language.

 COMPLEXITY
 Only

of Verilog is low.

used for DIGITAL DESIGNS.
6
Module declaration
Input
X

Module
Circuit

Y

Wire
Output

Z

O

Module name
module sample (X,Y,Z,O);
input X,Y,Z;
output O;
// Describe the circuit using logic symbols
assign O = (X^Y)&Z;
endmodule
Data Types
Nets and Registers
 Vectors
 Integer, Real, and Time Register Data
Types
 Arrays
 Memories
 Parameters
 Strings


Verilog HDL
Operators


Arithmetic:

reg [3:0] a, b, c, d;
wire[7:0] x,y,z;
parameter n =4;

*,+,-, /,%



Relational

<,<=,>,>=,==, !=



Bit-wise Operators
•
•
•
•
•



Not: ~
XOR: ^
And : &
5’b11001 & 5’b01101 ==> 5’b01001
OR: |
XNOR: ~^ or ^~

Logical Operators

Returns 1or 0, treats all nonzero as 1
! : Not
• && : AND
• || : OR
•

27 && -3 ==> 1

c = a + b;
d = a *n;
If(x==y) d = 1; else d =0;
d = a ~^ b;
if ((x>=y) && (z)) a=1;
else a = !x;
9
Operators


Reduction Operators:

Unary operations returns single-bit values
•

& : and

•

| :or

•

~& : nand

•

~| : nor

•

^ : xor

•

~^ :xnor



Shift Operators

Shift Left: <<
Shift right: >>



Concatenation Operator

module sample (a, b, c, d);
input [2:0] a, b;
output [2;0] c, d;
wire z,y;
assign z = ~| a;
c = a * b;
If(a==b) d = 1; else d =0;
d = a ~^ b;
if ((a>=b) && (z)) y=1;
else y = !x;

{ } (concatenation)
{ n{item} } (n fold replication of an item)

Conditional Operator
Implements if-then-else statement


(cond) ? (result if cond true) : (result if cond false)

assign d << 2; //shift left twice
assign {carry, d} = a + b;
assign c = {2{carry},2{1’b0}};
// c = {carry,carry,0,0}
assign c= (inc==2)? a+1:a-1;
10
Verilog Coding Styles
Gate

level modelling
Dataflow modelling
Behavioral modelling
Structural modelling
Gate level modelling





The gate level structure of digital design is required.
It requires the number of gates in the design.
It also requires the way the gates are connected.
The keywords defined for gates are as:AND
XOR
OR
XNOR
NOT
BUF
NAND
BUFIF1
NOR
BUFIF0

12
Example:Gate level modelling

Module gatelevel(A,B,C,x,y);
Input A,B.C;
Output x,y;
Wire e;
And(e,A,B);
Not(y,C);
Or(x,e,y);
Endmodule;

13
Dataflow modelling


The flow of data should be known from one point to
another.



It works on the Boolean expressions.



ASSIGN keyword is used to drive the values.
assign y=a&b;



Usually Boolean operators are used for dataflow
modelling and only the output equations are necessary
for designing.

14
Example:Dataflow modelling
4-bit Adder with instanciation


Step 1: build a 1-bit full adder as a module


S = (a) XOR (b) XOR (Cin ) ; ( S = a^b^Cin)



Cout = (a&b) |(Cin&(a+b))

module FA_1bit (S,Cout,a,b,Cin);
begin
input a,b,Cin;
Output S, Cout;
assign Sum = a^b^Cin;
assign Carry = (a&b) | (Cin&(a^b));

Module add_1bit

endmodule
15
4-bit Adder
Step 2: initiate 4 instances of FA_1bit module



Cout

1-bit
Full
Adder

Cout2

1-bit
Full
Adder

S3

S2

module FA_4bits (S,Cout,A,B,Cin);
input [3:0] A, B;
input
Cin;
output [3:0]
S;
output
Cout
wire
Cout0, Cout1, Cout2
FA_1bit
FA1(S[0], Cout0,A[0],B[0],Cin);
FA_1bit
FA1(S[1], Cout1,A[1],B[1],Cout0);
FA_1bit
FA1(S[2], Cout2,A[2],B[2],Cout1);
FA_1bit
FA1(S[3], Cout,A[3],B[3],Cout2);
end
endmodule;

B0 A0

B1 A1

B2 A2

B3 A3

Cout1

1-bit
Full
Adder

S1

Cout0

1-bit
Full
Adder

Cin

S0
The inputs and the output
are 4-bits wide
we need wires to
propagate the carry from
one stage to the next

you may name the
instances with any name,
but you have to maintain
the order 16 the inputs and
of
outputs
Behavioral modelling


The behavior of the circuit should be known in the
terms of its inputs and outputs.



This modelling is semi-concurrent in nature.



It uses conditional statements just like in C as “if-else”
and “case” statements.



Begin….end statements are used as for sequential
execution.

17
Example:D Flip-flop with
Synchronous reset and Enable
always@(posedge clk)
begin
if (rst) a<=0;
else if (enable) a<=b;
end

18
D Flip-Flop with Asynchronous
Reset
always@(posedge clk or negedge rst)
begin
if (!rst) a<=0;
else a<=b;
end

19
Structural coding


It is basically not a coding style.

 It

is used to connect behavioral designs
components to design more complex
circuits.

 The

components of huge circuitry are
designed separately.

20
Vending machine


It is based on FSM.



FSM is the heart of any
digital design.



According to the input
state transition occurs.



The machine has four
states like
coke,mango,orange and
lime with an initial
state.

21
Vending machine

Insert
coin

Mango
State 1

coke
State 2

lime
State 3

orange
State 4

Vending
machine
Initial
State
Enter
product

22
Standard Form for a
Verilog FSM
// state flip-flops
reg [2:0] state, nxt_st;
// state definitions
parameter
reset=0,S1=1,S2=2,S3=3,..

// NEXT STATE CALCULATIONS
always@(state or inputs or ...)
begin
…
next_state= ...
…
end

// REGISTER DEFINITION
always@(posedge clk)
begin
state<=next_state;
end
// OUTPUT CALCULATIONS
output= f(state, inputs)

23
Simulation for vending
machine

24
THANK YOU

25

More Related Content

What's hot

ASIC Design and Implementation
ASIC Design and ImplementationASIC Design and Implementation
ASIC Design and Implementation
skerlj
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners
Ravi Sony
 
Hard IP Core design | Convolution Encoder
Hard IP Core design | Convolution EncoderHard IP Core design | Convolution Encoder
Hard IP Core design | Convolution Encoder
Archit Vora
 
Fpga
FpgaFpga
Digital design lect 26 27
Digital design lect 26 27Digital design lect 26 27
Digital design lect 26 27
babak danyal
 
VLSI Experiments I
VLSI Experiments IVLSI Experiments I
VLSI Experiments IGouthaman V
 
Verilog HDL
Verilog HDLVerilog HDL
Verilog HDL
Mantra VLSI
 
Programmable logic controller performance enhancement by field programmable g...
Programmable logic controller performance enhancement by field programmable g...Programmable logic controller performance enhancement by field programmable g...
Programmable logic controller performance enhancement by field programmable g...
ISA Interchange
 
Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated Circuit
SWINDONSilicon
 
Revathi_Resume__2.6
Revathi_Resume__2.6Revathi_Resume__2.6
Revathi_Resume__2.6Revati M
 
Logic synthesis with synopsys design compiler
Logic synthesis with synopsys design compilerLogic synthesis with synopsys design compiler
Logic synthesis with synopsys design compilernaeemtayyab
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Fpga implementation of encryption and decryption algorithm based on aes
Fpga implementation of encryption and decryption algorithm based on aesFpga implementation of encryption and decryption algorithm based on aes
Fpga implementation of encryption and decryption algorithm based on aes
eSAT Publishing House
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSE
VLSIUNIVERSE
 
Lect01 flow
Lect01 flowLect01 flow
Lect01 flow
prabhu_vlsi
 

What's hot (20)

ASIC Design and Implementation
ASIC Design and ImplementationASIC Design and Implementation
ASIC Design and Implementation
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners
 
Hard IP Core design | Convolution Encoder
Hard IP Core design | Convolution EncoderHard IP Core design | Convolution Encoder
Hard IP Core design | Convolution Encoder
 
Fpga
FpgaFpga
Fpga
 
Digital design lect 26 27
Digital design lect 26 27Digital design lect 26 27
Digital design lect 26 27
 
VLSI Experiments I
VLSI Experiments IVLSI Experiments I
VLSI Experiments I
 
Verilog HDL
Verilog HDLVerilog HDL
Verilog HDL
 
Programmable logic controller performance enhancement by field programmable g...
Programmable logic controller performance enhancement by field programmable g...Programmable logic controller performance enhancement by field programmable g...
Programmable logic controller performance enhancement by field programmable g...
 
Himanshu Shivhar (1)
Himanshu Shivhar (1)Himanshu Shivhar (1)
Himanshu Shivhar (1)
 
Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated Circuit
 
Revathi_Resume__2.6
Revathi_Resume__2.6Revathi_Resume__2.6
Revathi_Resume__2.6
 
Logic synthesis with synopsys design compiler
Logic synthesis with synopsys design compilerLogic synthesis with synopsys design compiler
Logic synthesis with synopsys design compiler
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
FPGA Based VLSI Design
FPGA Based VLSI DesignFPGA Based VLSI Design
FPGA Based VLSI Design
 
Fpga implementation of encryption and decryption algorithm based on aes
Fpga implementation of encryption and decryption algorithm based on aesFpga implementation of encryption and decryption algorithm based on aes
Fpga implementation of encryption and decryption algorithm based on aes
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSE
 
Lect01 flow
Lect01 flowLect01 flow
Lect01 flow
 
Vlsi lab
Vlsi labVlsi lab
Vlsi lab
 
Tierney bq207
Tierney bq207Tierney bq207
Tierney bq207
 
Embedded system
Embedded systemEmbedded system
Embedded system
 

Viewers also liked

Complaint Letters
Complaint LettersComplaint Letters
Complaint Lettersgmherrera
 
Behavioral modelling in VHDL
Behavioral modelling in VHDLBehavioral modelling in VHDL
Behavioral modelling in VHDL
Bhupendra Pratap Singh
 
Adjustments and claim
Adjustments and claimAdjustments and claim
Adjustments and claim
Mathan Kumar
 
String Matching with Finite Automata,Aho corasick,
String Matching with Finite Automata,Aho corasick,String Matching with Finite Automata,Aho corasick,
String Matching with Finite Automata,Aho corasick,8neutron8
 
Letters of Complaint
Letters of ComplaintLetters of Complaint
Letters of Complaint
Jafar pp
 
Complaint letter
Complaint letterComplaint letter
Complaint letter
hitesh joshi
 
How to write a letter of complaint
How to write a letter of complaintHow to write a letter of complaint
How to write a letter of complaint
Paula Gómez
 
Writing Complaint Letter
Writing Complaint LetterWriting Complaint Letter
Writing Complaint LetterPumpump
 
Letters of complaint
Letters of complaintLetters of complaint
Letters of complaintMajorick
 
4. letter of complaint
4. letter of complaint4. letter of complaint
4. letter of complaint
kamlesh p joshi
 
Writing Letters by Ganta Kishore Kumar
Writing Letters by Ganta Kishore KumarWriting Letters by Ganta Kishore Kumar
Writing Letters by Ganta Kishore Kumar
Ganta Kishore Kumar
 

Viewers also liked (16)

Lect23 Engin112
Lect23 Engin112Lect23 Engin112
Lect23 Engin112
 
Complaint Letters
Complaint LettersComplaint Letters
Complaint Letters
 
Functional modeling
Functional modelingFunctional modeling
Functional modeling
 
Behavioral modelling in VHDL
Behavioral modelling in VHDLBehavioral modelling in VHDL
Behavioral modelling in VHDL
 
Adjustments and claim
Adjustments and claimAdjustments and claim
Adjustments and claim
 
String Matching with Finite Automata,Aho corasick,
String Matching with Finite Automata,Aho corasick,String Matching with Finite Automata,Aho corasick,
String Matching with Finite Automata,Aho corasick,
 
Letters of Complaint
Letters of ComplaintLetters of Complaint
Letters of Complaint
 
Complaint letter
Complaint letterComplaint letter
Complaint letter
 
Letter of complaint
Letter of complaintLetter of complaint
Letter of complaint
 
How to write a letter of complaint
How to write a letter of complaintHow to write a letter of complaint
How to write a letter of complaint
 
Writing Complaint Letter
Writing Complaint LetterWriting Complaint Letter
Writing Complaint Letter
 
Chap 2 behaviour models
Chap 2 behaviour modelsChap 2 behaviour models
Chap 2 behaviour models
 
Letters of complaint
Letters of complaintLetters of complaint
Letters of complaint
 
Complaint letters
Complaint lettersComplaint letters
Complaint letters
 
4. letter of complaint
4. letter of complaint4. letter of complaint
4. letter of complaint
 
Writing Letters by Ganta Kishore Kumar
Writing Letters by Ganta Kishore KumarWriting Letters by Ganta Kishore Kumar
Writing Letters by Ganta Kishore Kumar
 

Similar to VerilogHDL_Utkarsh_kulshrestha

Task i
Task iTask i
Task i
Darshil Shah
 
Verilog_Overview.pdf
Verilog_Overview.pdfVerilog_Overview.pdf
Verilog_Overview.pdf
QuangHuyDo3
 
Ecad &amp;vlsi lab 18
Ecad &amp;vlsi lab 18Ecad &amp;vlsi lab 18
Ecad &amp;vlsi lab 18
Shekar Midde
 
VLSI experiments II
VLSI experiments IIVLSI experiments II
VLSI experiments IIGouthaman V
 
gate level modeling
gate level modelinggate level modeling
gate level modeling
VandanaBR2
 
mod-4.pptx
mod-4.pptxmod-4.pptx
mod-4.pptx
MaheshRgk
 
Verilogforlab
VerilogforlabVerilogforlab
Verilogforlab
Shankar Bhukya
 
Verilog 語法教學
Verilog 語法教學 Verilog 語法教學
Verilog 語法教學
艾鍗科技
 
CombVerilog.pdf
CombVerilog.pdfCombVerilog.pdf
CombVerilog.pdf
ashwkr07
 
5 - Advanced SVE.pdf
5 - Advanced SVE.pdf5 - Advanced SVE.pdf
5 - Advanced SVE.pdf
JunZhao68
 
Digital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECEDigital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECE
Ramesh Naik Bhukya
 
Unit 4 dica
Unit 4 dicaUnit 4 dica
Unit 4 dica
Pavan Mukku
 
FPGA based BCH Decoder
FPGA based BCH DecoderFPGA based BCH Decoder
FPGA based BCH Decoder
ijsrd.com
 
Vlsi lab manual exp:1
Vlsi lab manual exp:1Vlsi lab manual exp:1
Vlsi lab manual exp:1komala vani
 
All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programsGouthaman V
 
Boosting Developer Productivity with Clang
Boosting Developer Productivity with ClangBoosting Developer Productivity with Clang
Boosting Developer Productivity with Clang
Samsung Open Source Group
 
verilog
verilogverilog
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
Yaser Kalifa
 

Similar to VerilogHDL_Utkarsh_kulshrestha (20)

Task i
Task iTask i
Task i
 
Verilog hdl
Verilog hdlVerilog hdl
Verilog hdl
 
Verilog_Overview.pdf
Verilog_Overview.pdfVerilog_Overview.pdf
Verilog_Overview.pdf
 
Ecad &amp;vlsi lab 18
Ecad &amp;vlsi lab 18Ecad &amp;vlsi lab 18
Ecad &amp;vlsi lab 18
 
VLSI experiments II
VLSI experiments IIVLSI experiments II
VLSI experiments II
 
gate level modeling
gate level modelinggate level modeling
gate level modeling
 
mod-4.pptx
mod-4.pptxmod-4.pptx
mod-4.pptx
 
Verilogforlab
VerilogforlabVerilogforlab
Verilogforlab
 
Verilog 語法教學
Verilog 語法教學 Verilog 語法教學
Verilog 語法教學
 
CombVerilog.pdf
CombVerilog.pdfCombVerilog.pdf
CombVerilog.pdf
 
5 - Advanced SVE.pdf
5 - Advanced SVE.pdf5 - Advanced SVE.pdf
5 - Advanced SVE.pdf
 
Digital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECEDigital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECE
 
Unit 4 dica
Unit 4 dicaUnit 4 dica
Unit 4 dica
 
FPGA based BCH Decoder
FPGA based BCH DecoderFPGA based BCH Decoder
FPGA based BCH Decoder
 
Vlsi lab manual exp:1
Vlsi lab manual exp:1Vlsi lab manual exp:1
Vlsi lab manual exp:1
 
All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programs
 
Boosting Developer Productivity with Clang
Boosting Developer Productivity with ClangBoosting Developer Productivity with Clang
Boosting Developer Productivity with Clang
 
verilog
verilogverilog
verilog
 
Eecs 317 20010209
Eecs 317 20010209Eecs 317 20010209
Eecs 317 20010209
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 

Recently uploaded

Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.
Ashokrao Mane college of Pharmacy Peth-Vadgaon
 
Model Attribute Check Company Auto Property
Model Attribute  Check Company Auto PropertyModel Attribute  Check Company Auto Property
Model Attribute Check Company Auto Property
Celine George
 
Honest Reviews of Tim Han LMA Course Program.pptx
Honest Reviews of Tim Han LMA Course Program.pptxHonest Reviews of Tim Han LMA Course Program.pptx
Honest Reviews of Tim Han LMA Course Program.pptx
timhan337
 
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
MysoreMuleSoftMeetup
 
Polish students' mobility in the Czech Republic
Polish students' mobility in the Czech RepublicPolish students' mobility in the Czech Republic
Polish students' mobility in the Czech Republic
Anna Sz.
 
special B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdfspecial B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdf
Special education needs
 
Sha'Carri Richardson Presentation 202345
Sha'Carri Richardson Presentation 202345Sha'Carri Richardson Presentation 202345
Sha'Carri Richardson Presentation 202345
beazzy04
 
The Roman Empire A Historical Colossus.pdf
The Roman Empire A Historical Colossus.pdfThe Roman Empire A Historical Colossus.pdf
The Roman Empire A Historical Colossus.pdf
kaushalkr1407
 
The basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptxThe basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptx
heathfieldcps1
 
Unit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdfUnit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdf
Thiyagu K
 
Thesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.pptThesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.ppt
EverAndrsGuerraGuerr
 
Overview on Edible Vaccine: Pros & Cons with Mechanism
Overview on Edible Vaccine: Pros & Cons with MechanismOverview on Edible Vaccine: Pros & Cons with Mechanism
Overview on Edible Vaccine: Pros & Cons with Mechanism
DeeptiGupta154
 
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCECLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
BhavyaRajput3
 
How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...
Jisc
 
Lapbook sobre os Regimes Totalitários.pdf
Lapbook sobre os Regimes Totalitários.pdfLapbook sobre os Regimes Totalitários.pdf
Lapbook sobre os Regimes Totalitários.pdf
Jean Carlos Nunes Paixão
 
Acetabularia Information For Class 9 .docx
Acetabularia Information For Class 9  .docxAcetabularia Information For Class 9  .docx
Acetabularia Information For Class 9 .docx
vaibhavrinwa19
 
How to Make a Field invisible in Odoo 17
How to Make a Field invisible in Odoo 17How to Make a Field invisible in Odoo 17
How to Make a Field invisible in Odoo 17
Celine George
 
Additional Benefits for Employee Website.pdf
Additional Benefits for Employee Website.pdfAdditional Benefits for Employee Website.pdf
Additional Benefits for Employee Website.pdf
joachimlavalley1
 
The Accursed House by Émile Gaboriau.pptx
The Accursed House by Émile Gaboriau.pptxThe Accursed House by Émile Gaboriau.pptx
The Accursed House by Émile Gaboriau.pptx
DhatriParmar
 
Synthetic Fiber Construction in lab .pptx
Synthetic Fiber Construction in lab .pptxSynthetic Fiber Construction in lab .pptx
Synthetic Fiber Construction in lab .pptx
Pavel ( NSTU)
 

Recently uploaded (20)

Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.
 
Model Attribute Check Company Auto Property
Model Attribute  Check Company Auto PropertyModel Attribute  Check Company Auto Property
Model Attribute Check Company Auto Property
 
Honest Reviews of Tim Han LMA Course Program.pptx
Honest Reviews of Tim Han LMA Course Program.pptxHonest Reviews of Tim Han LMA Course Program.pptx
Honest Reviews of Tim Han LMA Course Program.pptx
 
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
 
Polish students' mobility in the Czech Republic
Polish students' mobility in the Czech RepublicPolish students' mobility in the Czech Republic
Polish students' mobility in the Czech Republic
 
special B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdfspecial B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdf
 
Sha'Carri Richardson Presentation 202345
Sha'Carri Richardson Presentation 202345Sha'Carri Richardson Presentation 202345
Sha'Carri Richardson Presentation 202345
 
The Roman Empire A Historical Colossus.pdf
The Roman Empire A Historical Colossus.pdfThe Roman Empire A Historical Colossus.pdf
The Roman Empire A Historical Colossus.pdf
 
The basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptxThe basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptx
 
Unit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdfUnit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdf
 
Thesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.pptThesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.ppt
 
Overview on Edible Vaccine: Pros & Cons with Mechanism
Overview on Edible Vaccine: Pros & Cons with MechanismOverview on Edible Vaccine: Pros & Cons with Mechanism
Overview on Edible Vaccine: Pros & Cons with Mechanism
 
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCECLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
 
How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...
 
Lapbook sobre os Regimes Totalitários.pdf
Lapbook sobre os Regimes Totalitários.pdfLapbook sobre os Regimes Totalitários.pdf
Lapbook sobre os Regimes Totalitários.pdf
 
Acetabularia Information For Class 9 .docx
Acetabularia Information For Class 9  .docxAcetabularia Information For Class 9  .docx
Acetabularia Information For Class 9 .docx
 
How to Make a Field invisible in Odoo 17
How to Make a Field invisible in Odoo 17How to Make a Field invisible in Odoo 17
How to Make a Field invisible in Odoo 17
 
Additional Benefits for Employee Website.pdf
Additional Benefits for Employee Website.pdfAdditional Benefits for Employee Website.pdf
Additional Benefits for Employee Website.pdf
 
The Accursed House by Émile Gaboriau.pptx
The Accursed House by Émile Gaboriau.pptxThe Accursed House by Émile Gaboriau.pptx
The Accursed House by Émile Gaboriau.pptx
 
Synthetic Fiber Construction in lab .pptx
Synthetic Fiber Construction in lab .pptxSynthetic Fiber Construction in lab .pptx
Synthetic Fiber Construction in lab .pptx
 

VerilogHDL_Utkarsh_kulshrestha

  • 1. A Presentation on VLSI Technology BY:UTKARSH KULSHRESTHA
  • 2. CONTENTS  Introduction to VLSI  Hardware description language  Verilog coding  Vending machine 2
  • 3. VLSI  VLSI is very large scale integration technology.  It is related to the chip designing.  Chip designing is comprises of two areas:1. FRONT END 2. BACK END  VLSI uses CMOS technology 3
  • 5. Hardware Description Languages  Basic idea is a programming language to describe hardware  Synthesis tools allow direct implementation from HDL code.  Combined with modern Field Programmable Gate Array chips large complex circuits (100000s of gates) can be implemented.  There are many HDL’s as:1. ABEL 2. AHDL 3. VHDL 4. Verilog HDL 5. System Verilog 5
  • 6. Verilog HDL  Launched in 1984 by CADENCE.  Verilog is Unlike VHDL is a case sensitive language and uses lower case.  It is a CONCURRENT language.  COMPLEXITY  Only of Verilog is low. used for DIGITAL DESIGNS. 6
  • 7. Module declaration Input X Module Circuit Y Wire Output Z O Module name module sample (X,Y,Z,O); input X,Y,Z; output O; // Describe the circuit using logic symbols assign O = (X^Y)&Z; endmodule
  • 8. Data Types Nets and Registers  Vectors  Integer, Real, and Time Register Data Types  Arrays  Memories  Parameters  Strings  Verilog HDL
  • 9. Operators  Arithmetic: reg [3:0] a, b, c, d; wire[7:0] x,y,z; parameter n =4; *,+,-, /,%  Relational <,<=,>,>=,==, !=  Bit-wise Operators • • • • •  Not: ~ XOR: ^ And : & 5’b11001 & 5’b01101 ==> 5’b01001 OR: | XNOR: ~^ or ^~ Logical Operators Returns 1or 0, treats all nonzero as 1 ! : Not • && : AND • || : OR • 27 && -3 ==> 1 c = a + b; d = a *n; If(x==y) d = 1; else d =0; d = a ~^ b; if ((x>=y) && (z)) a=1; else a = !x; 9
  • 10. Operators  Reduction Operators: Unary operations returns single-bit values • & : and • | :or • ~& : nand • ~| : nor • ^ : xor • ~^ :xnor  Shift Operators Shift Left: << Shift right: >>  Concatenation Operator module sample (a, b, c, d); input [2:0] a, b; output [2;0] c, d; wire z,y; assign z = ~| a; c = a * b; If(a==b) d = 1; else d =0; d = a ~^ b; if ((a>=b) && (z)) y=1; else y = !x; { } (concatenation) { n{item} } (n fold replication of an item) Conditional Operator Implements if-then-else statement  (cond) ? (result if cond true) : (result if cond false) assign d << 2; //shift left twice assign {carry, d} = a + b; assign c = {2{carry},2{1’b0}}; // c = {carry,carry,0,0} assign c= (inc==2)? a+1:a-1; 10
  • 11. Verilog Coding Styles Gate level modelling Dataflow modelling Behavioral modelling Structural modelling
  • 12. Gate level modelling     The gate level structure of digital design is required. It requires the number of gates in the design. It also requires the way the gates are connected. The keywords defined for gates are as:AND XOR OR XNOR NOT BUF NAND BUFIF1 NOR BUFIF0 12
  • 13. Example:Gate level modelling Module gatelevel(A,B,C,x,y); Input A,B.C; Output x,y; Wire e; And(e,A,B); Not(y,C); Or(x,e,y); Endmodule; 13
  • 14. Dataflow modelling  The flow of data should be known from one point to another.  It works on the Boolean expressions.  ASSIGN keyword is used to drive the values. assign y=a&b;  Usually Boolean operators are used for dataflow modelling and only the output equations are necessary for designing. 14
  • 15. Example:Dataflow modelling 4-bit Adder with instanciation  Step 1: build a 1-bit full adder as a module  S = (a) XOR (b) XOR (Cin ) ; ( S = a^b^Cin)  Cout = (a&b) |(Cin&(a+b)) module FA_1bit (S,Cout,a,b,Cin); begin input a,b,Cin; Output S, Cout; assign Sum = a^b^Cin; assign Carry = (a&b) | (Cin&(a^b)); Module add_1bit endmodule 15
  • 16. 4-bit Adder Step 2: initiate 4 instances of FA_1bit module  Cout 1-bit Full Adder Cout2 1-bit Full Adder S3 S2 module FA_4bits (S,Cout,A,B,Cin); input [3:0] A, B; input Cin; output [3:0] S; output Cout wire Cout0, Cout1, Cout2 FA_1bit FA1(S[0], Cout0,A[0],B[0],Cin); FA_1bit FA1(S[1], Cout1,A[1],B[1],Cout0); FA_1bit FA1(S[2], Cout2,A[2],B[2],Cout1); FA_1bit FA1(S[3], Cout,A[3],B[3],Cout2); end endmodule; B0 A0 B1 A1 B2 A2 B3 A3 Cout1 1-bit Full Adder S1 Cout0 1-bit Full Adder Cin S0 The inputs and the output are 4-bits wide we need wires to propagate the carry from one stage to the next you may name the instances with any name, but you have to maintain the order 16 the inputs and of outputs
  • 17. Behavioral modelling  The behavior of the circuit should be known in the terms of its inputs and outputs.  This modelling is semi-concurrent in nature.  It uses conditional statements just like in C as “if-else” and “case” statements.  Begin….end statements are used as for sequential execution. 17
  • 18. Example:D Flip-flop with Synchronous reset and Enable always@(posedge clk) begin if (rst) a<=0; else if (enable) a<=b; end 18
  • 19. D Flip-Flop with Asynchronous Reset always@(posedge clk or negedge rst) begin if (!rst) a<=0; else a<=b; end 19
  • 20. Structural coding  It is basically not a coding style.  It is used to connect behavioral designs components to design more complex circuits.  The components of huge circuitry are designed separately. 20
  • 21. Vending machine  It is based on FSM.  FSM is the heart of any digital design.  According to the input state transition occurs.  The machine has four states like coke,mango,orange and lime with an initial state. 21
  • 22. Vending machine Insert coin Mango State 1 coke State 2 lime State 3 orange State 4 Vending machine Initial State Enter product 22
  • 23. Standard Form for a Verilog FSM // state flip-flops reg [2:0] state, nxt_st; // state definitions parameter reset=0,S1=1,S2=2,S3=3,.. // NEXT STATE CALCULATIONS always@(state or inputs or ...) begin … next_state= ... … end // REGISTER DEFINITION always@(posedge clk) begin state<=next_state; end // OUTPUT CALCULATIONS output= f(state, inputs) 23