SlideShare a Scribd company logo
1 of 18
ASIC
DESIGN
FLOW
www.vlsiuniverse.com
This is the standard VLSI design
flow that every semiconductor
company follows. The complete
ASIC design flow is explained by
considering each and every stage.
Specificatio
ns
• Let us assume we all are IC
design engineers, So you are
getting the specification from the
customer say to design a full
adder.
• For example, the customer says
to design a Full adder with 2GHz
or 5GHz frequency. So the
specification is the first stage in
any ASIC design. The
specification may be the power
or chip area or the chip speed.
Microarchitecture design
There will be a top-level design engineer in any
company that decides the microarchitecture for the
specification is whatever given by the customer.
He will design the sample architecture which contains
for example for a full adder, there will be two half
adders and standard cell (OR gate) and CLK1, CLK2,
etc.
Then he will estimate the chip area, speed, and power
based on this model and discuss the approximate
cost of the design with the customer.
Once the customer is happy with the
microarchitecture whatever developed by the IC
design engineer he will give green signal to start the
design. If the microarchitecture is finalized by the
customer we have to go for the RTL design.
RTL Design
From the microarchitecture, each and
every module is assigned to different low-
level design engineers. So the work is
divided into different groups.
The different groups will design the RTL
register transfer level design. Which is the
first stage of Technology independent
design? The RTL may be designed using
any of the Hardware Description
Language such as Verilog or VHDL.
Make sure that while going for ASICs
design we need to write synthesizable
RTL.
Simulation
• Once the RTL is designed we need
to verify the design for its functional
working that is we need to go for
simulation.
• Once the functionality is verified we
need to go for the process called
synthesis.
• Till now the design was Technology
independent design. The output file
will be available in the dot V(.V)
Verilog format.
Synthesis
• The moment the design is taken into the synthesis
the design is called Technology dependent design.
Synthesis is a three-stage process Translation,
Optimization, and Mapping into the particular
technology 32nm or 45ns or 50nm, etc.
• Once the synthesis is over we need to generate two
different files.
Gate level Verilog netlist (.Vg)
SDC(Synopsys design constraint) constraint file,
where need to specify input delay, output delay,
clock period, is there any multicycle path? , is there
any false path? Latency, Clock uncertainty, etc.
• The software used for synthesis is the Design
Compiler by Synopsys. Once the synthesis is over
we need to move to DFT(Design for Testability).
Design for
Testability
(DFT)
• In DFT we have to measure the
controllability (ability in setting the input) and
observability (ability in seeing the output
from any internal node) of our design.
• How far our design is achievable in terms of
the error rate. Once DFT is finished we
have to generate the file called. ATPG
(Automatic Test Pattern Generation) file.
• The software normally used for DFT is
Encounter Test that is from the cadence.
Up to this, we can call our design as
FRONT END ASIC design.
Data
Preparation
• Before moving to Back end design we need to data
preparation that is making sure all required files are
available to start with the back end design. The software
which is used for Back End Design is SOC Encounter
and PnR Tools.
• Data files required: .Vg, .SDC, .ATPG, .lib, .lef, .io
Library file (.lib) towards particular technology, if your
working for a 45nm technology we need a 45nm
technology library file. The library file will be of three
kinds slow, typical, and fast library based on the
different PVT.
• Library exchange format (.lef) contains metal and via
information, height and width of the cell, the width of the
polysilicon, and all technology-related information.
Before going to the next stage we need to check the
design at all different instances whether the synthesis
fellow gave the correct netlist or not. Command to do
the check design is check design - all. Once we confirm
everything is okay, we need to proceed for Timing the
design.
Timing Design
• The design is divided into four different paths.
Input to Register path
Input to the Output path
Register to Register path
Register to the Output path
• Then we will apply setup and hold predefined
equations to check the Maximum frequency of
operation or Minimum required clock period, Check
for the violations, etc. it will come out with the slack.
If the slack is positive then it's fine. If the slack is
negative we need to optimize the design and make
slack to be positive.
• The timing will be verified in five different stages
PRE-PLACE, PRE-CTC, POST-CTS, POST-
ROUTE, and POST-SI.
Here at this stage, we do the PRE-PLACE timing
check. Once PRE-PLACE is confirmed we need to
go for the stage called floor planning.
Floorplanning
This stage involves identifying the
right place for the components and
placing the highly connected
components close together to
reduce the interconnect delay.
Power Planning
• The main aim the power planning is to
reduce the IR drop. We have to make
sure the same supply voltage VDD
reaches to each and every component
in the design.
• Suppose if we are going for 180nm the
allowed VDD is 1.8v. To reduce the IR
drop introducing VDD VSS stripes is
one of the methods.
• Based on complexity we can introduce
only vertical stripes or both vertical and
horizontal VDD VSS stripes.
Place Design
• Once the floor planning and power planning
are over we will go for placement of the
design. Place design places all our
components standard cell, Hard macros,
Soft macros, and everything into the chip.
We have two option while placement.
• Time-based, The focus is to improve the
speed of the chip design.
Congestion-based, The main focus is to
reduce the area of the chip.
• Once the placement is done, we need to go
for the PRE-CTS time design check.
Verifying all the path and confirming no
violations and the slack is not negative.
Clock Tree Synthesis
(CTS)
Trying to obtain the ZERO clock
skew by inserting the clock buffer
or inverter.
Which may lead to timing
violations, So, we need to do the
POST-CTS timing design check.
Routing
There are two stages in routing the first
one is Global Routing, identifying the
optimized way of interconnections. and
the second one is Detailed Routing, this
is the actual routing between the
components that are routing of signal
lines, clock paths, and power wires(we
need to make sure the width and length of
the wire).
Routing may introduce the timing
violations so we need to do POST-ROUTE
timing design check once the routing is
over.
DRC and LVS
The design is checked for the
DRC (Design Rule Check)
violations and LVS (Layout vs
Schematic) violations.
Signal
Integrity SI
• The signal integrity fellow makes sure
there are no issues such as Crosstalk,
Noise, Migrations, and Antenna effects.
• To resolve these issues if had, there may
be the addition or deletion od some
components, which may lead to timing
error. So the design is verified by the
POST-SI timing design check at this
stage.
• Now the design will be sent to fabrication
for Tape out. and they will return us the
GDSII version of the design.
Thank you
Visit www.vlsiuniverse.com for more related stuff.

More Related Content

What's hot

VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)Sudhanshu Janwadkar
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
Logic synthesis,flootplan&placement
Logic synthesis,flootplan&placementLogic synthesis,flootplan&placement
Logic synthesis,flootplan&placementshaik sharief
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioningSushil Kundu
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Olivier Coudert
 

What's hot (20)

Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
 
Routing.pdf
Routing.pdfRouting.pdf
Routing.pdf
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
 
ASIC Design.pdf
ASIC Design.pdfASIC Design.pdf
ASIC Design.pdf
 
Floorplanning.pdf
Floorplanning.pdfFloorplanning.pdf
Floorplanning.pdf
 
STA.pdf
STA.pdfSTA.pdf
STA.pdf
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
 
Logic synthesis,flootplan&placement
Logic synthesis,flootplan&placementLogic synthesis,flootplan&placement
Logic synthesis,flootplan&placement
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 
Placement.pdf
Placement.pdfPlacement.pdf
Placement.pdf
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptx
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 

Similar to Complete ASIC design flow - VLSI UNIVERSE

ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYIlango Jeyasubramanian
 
Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitSWINDONSilicon
 
Design & Simulation With Verilog
Design & Simulation With Verilog Design & Simulation With Verilog
Design & Simulation With Verilog Semi Design
 
Session 01 _rtl_design_with_vhdl 101
Session 01 _rtl_design_with_vhdl 101Session 01 _rtl_design_with_vhdl 101
Session 01 _rtl_design_with_vhdl 101Mahmoud Abdellatif
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : IntroductionUsha Mehta
 
Implementation of DSP Algorithms on FPGA
Implementation of DSP Algorithms on FPGAImplementation of DSP Algorithms on FPGA
Implementation of DSP Algorithms on FPGASilicon Mentor
 
6 months/weeks training in Vlsi,jalandhar
6 months/weeks training in Vlsi,jalandhar6 months/weeks training in Vlsi,jalandhar
6 months/weeks training in Vlsi,jalandhardeepikakaler1
 
6 weeks/months summer training in vlsi,ludhiana
6 weeks/months summer training in vlsi,ludhiana6 weeks/months summer training in vlsi,ludhiana
6 weeks/months summer training in vlsi,ludhianadeepikakaler1
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training pptBhagwan Lal Teli
 
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01khalid noman husainy
 
VLSI design Dr B.jagadeesh UNIT-5.pptx
VLSI design Dr B.jagadeesh   UNIT-5.pptxVLSI design Dr B.jagadeesh   UNIT-5.pptx
VLSI design Dr B.jagadeesh UNIT-5.pptxjagadeesh276791
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Ravi Sony
 
UGM 2015: X1149 workshop
UGM 2015: X1149 workshopUGM 2015: X1149 workshop
UGM 2015: X1149 workshopInterlatin
 

Similar to Complete ASIC design flow - VLSI UNIVERSE (20)

ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
 
Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated Circuit
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
ASIC design verification
ASIC design verificationASIC design verification
ASIC design verification
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Vlsi
VlsiVlsi
Vlsi
 
Design & Simulation With Verilog
Design & Simulation With Verilog Design & Simulation With Verilog
Design & Simulation With Verilog
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 
Session 01 _rtl_design_with_vhdl 101
Session 01 _rtl_design_with_vhdl 101Session 01 _rtl_design_with_vhdl 101
Session 01 _rtl_design_with_vhdl 101
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
Unit-4_ESE.pdf
Unit-4_ESE.pdfUnit-4_ESE.pdf
Unit-4_ESE.pdf
 
Implementation of DSP Algorithms on FPGA
Implementation of DSP Algorithms on FPGAImplementation of DSP Algorithms on FPGA
Implementation of DSP Algorithms on FPGA
 
6 months/weeks training in Vlsi,jalandhar
6 months/weeks training in Vlsi,jalandhar6 months/weeks training in Vlsi,jalandhar
6 months/weeks training in Vlsi,jalandhar
 
6 weeks/months summer training in vlsi,ludhiana
6 weeks/months summer training in vlsi,ludhiana6 weeks/months summer training in vlsi,ludhiana
6 weeks/months summer training in vlsi,ludhiana
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
 
VLSI design Dr B.jagadeesh UNIT-5.pptx
VLSI design Dr B.jagadeesh   UNIT-5.pptxVLSI design Dr B.jagadeesh   UNIT-5.pptx
VLSI design Dr B.jagadeesh UNIT-5.pptx
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners
 
SDAccel Design Contest: Vivado HLS
SDAccel Design Contest: Vivado HLSSDAccel Design Contest: Vivado HLS
SDAccel Design Contest: Vivado HLS
 
UGM 2015: X1149 workshop
UGM 2015: X1149 workshopUGM 2015: X1149 workshop
UGM 2015: X1149 workshop
 

Recently uploaded

ChatGPT and Beyond - Elevating DevOps Productivity
ChatGPT and Beyond - Elevating DevOps ProductivityChatGPT and Beyond - Elevating DevOps Productivity
ChatGPT and Beyond - Elevating DevOps ProductivityVictorSzoltysek
 
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Orbitshub
 
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Jeffrey Haguewood
 
Quantum Leap in Next-Generation Computing
Quantum Leap in Next-Generation ComputingQuantum Leap in Next-Generation Computing
Quantum Leap in Next-Generation ComputingWSO2
 
Less Is More: Utilizing Ballerina to Architect a Cloud Data Platform
Less Is More: Utilizing Ballerina to Architect a Cloud Data PlatformLess Is More: Utilizing Ballerina to Architect a Cloud Data Platform
Less Is More: Utilizing Ballerina to Architect a Cloud Data PlatformWSO2
 
API Governance and Monetization - The evolution of API governance
API Governance and Monetization -  The evolution of API governanceAPI Governance and Monetization -  The evolution of API governance
API Governance and Monetization - The evolution of API governanceWSO2
 
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfOrbitshub
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FMESafe Software
 
JohnPollard-hybrid-app-RailsConf2024.pptx
JohnPollard-hybrid-app-RailsConf2024.pptxJohnPollard-hybrid-app-RailsConf2024.pptx
JohnPollard-hybrid-app-RailsConf2024.pptxJohnPollard37
 
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)Samir Dash
 
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...DianaGray10
 
Vector Search -An Introduction in Oracle Database 23ai.pptx
Vector Search -An Introduction in Oracle Database 23ai.pptxVector Search -An Introduction in Oracle Database 23ai.pptx
Vector Search -An Introduction in Oracle Database 23ai.pptxRemote DBA Services
 
Six Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal OntologySix Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal Ontologyjohnbeverley2021
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdfSandro Moreira
 
Stronger Together: Developing an Organizational Strategy for Accessible Desig...
Stronger Together: Developing an Organizational Strategy for Accessible Desig...Stronger Together: Developing an Organizational Strategy for Accessible Desig...
Stronger Together: Developing an Organizational Strategy for Accessible Desig...caitlingebhard1
 
Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)Zilliz
 
Modernizing Legacy Systems Using Ballerina
Modernizing Legacy Systems Using BallerinaModernizing Legacy Systems Using Ballerina
Modernizing Legacy Systems Using BallerinaWSO2
 
Choreo: Empowering the Future of Enterprise Software Engineering
Choreo: Empowering the Future of Enterprise Software EngineeringChoreo: Empowering the Future of Enterprise Software Engineering
Choreo: Empowering the Future of Enterprise Software EngineeringWSO2
 

Recently uploaded (20)

ChatGPT and Beyond - Elevating DevOps Productivity
ChatGPT and Beyond - Elevating DevOps ProductivityChatGPT and Beyond - Elevating DevOps Productivity
ChatGPT and Beyond - Elevating DevOps Productivity
 
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
 
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
 
Quantum Leap in Next-Generation Computing
Quantum Leap in Next-Generation ComputingQuantum Leap in Next-Generation Computing
Quantum Leap in Next-Generation Computing
 
Less Is More: Utilizing Ballerina to Architect a Cloud Data Platform
Less Is More: Utilizing Ballerina to Architect a Cloud Data PlatformLess Is More: Utilizing Ballerina to Architect a Cloud Data Platform
Less Is More: Utilizing Ballerina to Architect a Cloud Data Platform
 
API Governance and Monetization - The evolution of API governance
API Governance and Monetization -  The evolution of API governanceAPI Governance and Monetization -  The evolution of API governance
API Governance and Monetization - The evolution of API governance
 
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
JohnPollard-hybrid-app-RailsConf2024.pptx
JohnPollard-hybrid-app-RailsConf2024.pptxJohnPollard-hybrid-app-RailsConf2024.pptx
JohnPollard-hybrid-app-RailsConf2024.pptx
 
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
 
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
 
Vector Search -An Introduction in Oracle Database 23ai.pptx
Vector Search -An Introduction in Oracle Database 23ai.pptxVector Search -An Introduction in Oracle Database 23ai.pptx
Vector Search -An Introduction in Oracle Database 23ai.pptx
 
Six Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal OntologySix Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal Ontology
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf
 
Stronger Together: Developing an Organizational Strategy for Accessible Desig...
Stronger Together: Developing an Organizational Strategy for Accessible Desig...Stronger Together: Developing an Organizational Strategy for Accessible Desig...
Stronger Together: Developing an Organizational Strategy for Accessible Desig...
 
Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)
 
Modernizing Legacy Systems Using Ballerina
Modernizing Legacy Systems Using BallerinaModernizing Legacy Systems Using Ballerina
Modernizing Legacy Systems Using Ballerina
 
Understanding the FAA Part 107 License ..
Understanding the FAA Part 107 License ..Understanding the FAA Part 107 License ..
Understanding the FAA Part 107 License ..
 
Choreo: Empowering the Future of Enterprise Software Engineering
Choreo: Empowering the Future of Enterprise Software EngineeringChoreo: Empowering the Future of Enterprise Software Engineering
Choreo: Empowering the Future of Enterprise Software Engineering
 

Complete ASIC design flow - VLSI UNIVERSE

  • 2. This is the standard VLSI design flow that every semiconductor company follows. The complete ASIC design flow is explained by considering each and every stage.
  • 3. Specificatio ns • Let us assume we all are IC design engineers, So you are getting the specification from the customer say to design a full adder. • For example, the customer says to design a Full adder with 2GHz or 5GHz frequency. So the specification is the first stage in any ASIC design. The specification may be the power or chip area or the chip speed.
  • 4. Microarchitecture design There will be a top-level design engineer in any company that decides the microarchitecture for the specification is whatever given by the customer. He will design the sample architecture which contains for example for a full adder, there will be two half adders and standard cell (OR gate) and CLK1, CLK2, etc. Then he will estimate the chip area, speed, and power based on this model and discuss the approximate cost of the design with the customer. Once the customer is happy with the microarchitecture whatever developed by the IC design engineer he will give green signal to start the design. If the microarchitecture is finalized by the customer we have to go for the RTL design.
  • 5. RTL Design From the microarchitecture, each and every module is assigned to different low- level design engineers. So the work is divided into different groups. The different groups will design the RTL register transfer level design. Which is the first stage of Technology independent design? The RTL may be designed using any of the Hardware Description Language such as Verilog or VHDL. Make sure that while going for ASICs design we need to write synthesizable RTL.
  • 6. Simulation • Once the RTL is designed we need to verify the design for its functional working that is we need to go for simulation. • Once the functionality is verified we need to go for the process called synthesis. • Till now the design was Technology independent design. The output file will be available in the dot V(.V) Verilog format.
  • 7. Synthesis • The moment the design is taken into the synthesis the design is called Technology dependent design. Synthesis is a three-stage process Translation, Optimization, and Mapping into the particular technology 32nm or 45ns or 50nm, etc. • Once the synthesis is over we need to generate two different files. Gate level Verilog netlist (.Vg) SDC(Synopsys design constraint) constraint file, where need to specify input delay, output delay, clock period, is there any multicycle path? , is there any false path? Latency, Clock uncertainty, etc. • The software used for synthesis is the Design Compiler by Synopsys. Once the synthesis is over we need to move to DFT(Design for Testability).
  • 8. Design for Testability (DFT) • In DFT we have to measure the controllability (ability in setting the input) and observability (ability in seeing the output from any internal node) of our design. • How far our design is achievable in terms of the error rate. Once DFT is finished we have to generate the file called. ATPG (Automatic Test Pattern Generation) file. • The software normally used for DFT is Encounter Test that is from the cadence. Up to this, we can call our design as FRONT END ASIC design.
  • 9. Data Preparation • Before moving to Back end design we need to data preparation that is making sure all required files are available to start with the back end design. The software which is used for Back End Design is SOC Encounter and PnR Tools. • Data files required: .Vg, .SDC, .ATPG, .lib, .lef, .io Library file (.lib) towards particular technology, if your working for a 45nm technology we need a 45nm technology library file. The library file will be of three kinds slow, typical, and fast library based on the different PVT. • Library exchange format (.lef) contains metal and via information, height and width of the cell, the width of the polysilicon, and all technology-related information. Before going to the next stage we need to check the design at all different instances whether the synthesis fellow gave the correct netlist or not. Command to do the check design is check design - all. Once we confirm everything is okay, we need to proceed for Timing the design.
  • 10. Timing Design • The design is divided into four different paths. Input to Register path Input to the Output path Register to Register path Register to the Output path • Then we will apply setup and hold predefined equations to check the Maximum frequency of operation or Minimum required clock period, Check for the violations, etc. it will come out with the slack. If the slack is positive then it's fine. If the slack is negative we need to optimize the design and make slack to be positive. • The timing will be verified in five different stages PRE-PLACE, PRE-CTC, POST-CTS, POST- ROUTE, and POST-SI. Here at this stage, we do the PRE-PLACE timing check. Once PRE-PLACE is confirmed we need to go for the stage called floor planning.
  • 11. Floorplanning This stage involves identifying the right place for the components and placing the highly connected components close together to reduce the interconnect delay.
  • 12. Power Planning • The main aim the power planning is to reduce the IR drop. We have to make sure the same supply voltage VDD reaches to each and every component in the design. • Suppose if we are going for 180nm the allowed VDD is 1.8v. To reduce the IR drop introducing VDD VSS stripes is one of the methods. • Based on complexity we can introduce only vertical stripes or both vertical and horizontal VDD VSS stripes.
  • 13. Place Design • Once the floor planning and power planning are over we will go for placement of the design. Place design places all our components standard cell, Hard macros, Soft macros, and everything into the chip. We have two option while placement. • Time-based, The focus is to improve the speed of the chip design. Congestion-based, The main focus is to reduce the area of the chip. • Once the placement is done, we need to go for the PRE-CTS time design check. Verifying all the path and confirming no violations and the slack is not negative.
  • 14. Clock Tree Synthesis (CTS) Trying to obtain the ZERO clock skew by inserting the clock buffer or inverter. Which may lead to timing violations, So, we need to do the POST-CTS timing design check.
  • 15. Routing There are two stages in routing the first one is Global Routing, identifying the optimized way of interconnections. and the second one is Detailed Routing, this is the actual routing between the components that are routing of signal lines, clock paths, and power wires(we need to make sure the width and length of the wire). Routing may introduce the timing violations so we need to do POST-ROUTE timing design check once the routing is over.
  • 16. DRC and LVS The design is checked for the DRC (Design Rule Check) violations and LVS (Layout vs Schematic) violations.
  • 17. Signal Integrity SI • The signal integrity fellow makes sure there are no issues such as Crosstalk, Noise, Migrations, and Antenna effects. • To resolve these issues if had, there may be the addition or deletion od some components, which may lead to timing error. So the design is verified by the POST-SI timing design check at this stage. • Now the design will be sent to fabrication for Tape out. and they will return us the GDSII version of the design.
  • 18. Thank you Visit www.vlsiuniverse.com for more related stuff.