Jorge Tonfat defended his Ph.D. thesis on a frame-level redundancy scrubbing technique for mitigating soft errors in SRAM-based FPGAs. The thesis outlined the increasing soft error rate issues in newer FPGA technologies, described existing mitigation techniques like triple modular redundancy and memory scrubbing, and proposed a new frame-level redundancy scrubbing approach. Experimental validation through radiation testing and fault injection showed the effectiveness of the frame-level redundancy scrubbing technique.
This document provides an overview of an introduction to STM32 course. The course covers the ARM Cortex processor, STM32 system on chip, STM32 building blocks, low power operation, safety features, the flash module, and development tools. The goal of the course is to help students understand what the ARM Cortex processor and STM32 SoC are, and identify the main components of the STM32 microcontroller.
This document provides an introduction to microcontrollers and the 8051 architecture. It describes that a microcontroller contains a processor and other support devices integrated together on a single chip, unlike a microprocessor which requires external components. The 8051 is introduced as a popular microcontroller, and its pin diagram and internal architecture are explained, including details about ports, memory, registers, timers/counters, serial communication and interrupts.
The document discusses Ethernet device drivers in Linux. It describes the driver architecture, Ethernet packet format, driver development process, and important data structures like net_device and sk_buff. It provides examples of initializing a driver, probing hardware, uploading/downloading data using callbacks, interrupt handling, and buffer management. The key steps are registering the driver, allocating network devices, setting callbacks, and using sk_buff objects to transfer packets between layers.
Basic synthesis flow and commands in digital VLSISurya Raj
This document discusses logic synthesis, including the basic synthesis flow and commands, synthesis script flow, technology libraries, design objects like cells and ports, timing paths, and constraints like defining clocks and input/output delays. It provides examples of setting library variables, reading and writing designs, and applying constraints to clocks and I/O. The document contains information on synthesis tools and processes at a high level.
The document discusses Linux network drivers and provides information about:
- The Linux network subsystem and protocol stack, typically using TCP/IP.
- Network interface card (NIC) drivers which provide a uniform interface for the network layer to access physical network cards.
- Key data structures like struct sk_buff and struct net_device that network drivers interact with for packet handling and device operations.
- Functions for network device registration, open/close, interrupt handling, and flow control.
- Examples of simple network drivers and how to write one for a Realtek NIC.
Introduction to Real-Time Operating Systemscoolmirza143
shared by Mansoor Mirza
Understanding Real-Time Operating Systems
Types of Real-Time Operating System
Requirements for Real-Time Operating System
Difference between General Purpose Operating System (GPOS) and Real-Time Operating System (RTOS)
Conversion Linux kernel to support Real-Time operations
Patching the linux kernel
Major changes in patched kernel
Hands-on labs
Conversion of Linux kernel to support real time
Code a real time application (Audio Feedback removal)
This document provides an overview of an introduction to STM32 course. The course covers the ARM Cortex processor, STM32 system on chip, STM32 building blocks, low power operation, safety features, the flash module, and development tools. The goal of the course is to help students understand what the ARM Cortex processor and STM32 SoC are, and identify the main components of the STM32 microcontroller.
This document provides an introduction to microcontrollers and the 8051 architecture. It describes that a microcontroller contains a processor and other support devices integrated together on a single chip, unlike a microprocessor which requires external components. The 8051 is introduced as a popular microcontroller, and its pin diagram and internal architecture are explained, including details about ports, memory, registers, timers/counters, serial communication and interrupts.
The document discusses Ethernet device drivers in Linux. It describes the driver architecture, Ethernet packet format, driver development process, and important data structures like net_device and sk_buff. It provides examples of initializing a driver, probing hardware, uploading/downloading data using callbacks, interrupt handling, and buffer management. The key steps are registering the driver, allocating network devices, setting callbacks, and using sk_buff objects to transfer packets between layers.
Basic synthesis flow and commands in digital VLSISurya Raj
This document discusses logic synthesis, including the basic synthesis flow and commands, synthesis script flow, technology libraries, design objects like cells and ports, timing paths, and constraints like defining clocks and input/output delays. It provides examples of setting library variables, reading and writing designs, and applying constraints to clocks and I/O. The document contains information on synthesis tools and processes at a high level.
The document discusses Linux network drivers and provides information about:
- The Linux network subsystem and protocol stack, typically using TCP/IP.
- Network interface card (NIC) drivers which provide a uniform interface for the network layer to access physical network cards.
- Key data structures like struct sk_buff and struct net_device that network drivers interact with for packet handling and device operations.
- Functions for network device registration, open/close, interrupt handling, and flow control.
- Examples of simple network drivers and how to write one for a Realtek NIC.
Introduction to Real-Time Operating Systemscoolmirza143
shared by Mansoor Mirza
Understanding Real-Time Operating Systems
Types of Real-Time Operating System
Requirements for Real-Time Operating System
Difference between General Purpose Operating System (GPOS) and Real-Time Operating System (RTOS)
Conversion Linux kernel to support Real-Time operations
Patching the linux kernel
Major changes in patched kernel
Hands-on labs
Conversion of Linux kernel to support real time
Code a real time application (Audio Feedback removal)
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...shaotao liu
This paper presents a UPF-based static low-power verification flow for complex system-on-chip designs using VCLP. It describes challenges in verifying large SoC designs with complex power structures. Techniques for reducing UPF complexity include restructuring the UPF file, managing power states, merging analog power pins, and using black boxes. The paper demonstrates using VCLP for static low-power verification and discusses its limitations and potential enhancements.
13. peripheral component interconnect (pci)Rumah Belajar
Peripheral Component Interconnect (PCI) is a computer expansion bus standard that allows additional cards to be added to a computer motherboard. It uses a dual independent bus (DIB) architecture with a frontside bus and backside bus. PCI operates at speeds between 33-133 MHz and uses a 32-bit or 64-bit wide data path. Newer versions of PCI such as PCI-X and PCI Express have increased speeds and bandwidth capabilities.
https://www.udemy.com/vlsi-academy
Usually, while drawing any circuit on paper, we have only one 'vdd' at the top and one 'vss' at the bottom. But on a chip, it becomes necessary to have a grid structure of power, with more than one 'vdd' and 'vss'. The concept of power grid structure would be uploaded soon. It is actually the scaling trend that drives chip designers for power grid structure.
Before 2000 area, delay and performance were the most important parameters, if anyone design circuit the main focus was on how much less area is occupied by the circuit on the chip and what the speed is. Now situation is changed, the performance and speed is a secondary concern. In all nanometer (deep sub-micron) technology power becomes the most important parameter in the design. Almost all portable devices run on battery power. Power consumption is a very big challenge in modern-day VLSI design as technology is going to shrinks Because of
Increasing transistors count on small chip
Higher speed of operations
Greater device leakage currents
The document discusses different types of counters including ring counters, ripple counters, synchronous counters, and modulo-N counters. It provides details on their circuit designs and operating principles. Ring counters transfer a '1' output between stages with each clock cycle. Ripple counters use one flip-flop's output to clock the next. Synchronous counters apply clock pulses simultaneously. Modulo-N counters reset after N clock cycles using logic gates. Decade counters reset after a count of 10 to provide decimal output.
Routing is an important step in the design of integrated circuits. It involves generating metal wires to connect the pins of same signal while obeying manufacturing design rules. Before routing is performed on the design, cell placement has to be carried out wherein the cells used in the design are placed. But the connections between the pins of the cells pertaining to same signal need to be made. At the time of placement, there are only logical connections between these pins. The physical connections are made by routing. More generally speaking, routing is to locate a set of wires in routing space so as to connect all the nets in the netlist taking into consideration routing channels’ capacities, wire widths and crossings etc. The objective of routing is to minimize total wire length and number of vias and that each net meets its timing budget. The tools that perform routing are termed as routers. You typically provide them with a placed netlist along with list of timing critical nets. These tools, in turn, provide you with the geometry of all the nets in the design.
1.FPGA for dummies: Basic FPGA architecture Maurizio Donna
This document provides an overview of field programmable gate arrays (FPGAs). It describes the basic FPGA architecture including logic blocks, flip flops, wires, and input/output pads. It also discusses FPGA programming using hardware description languages and the design flow. Additional sections cover FPGA digital signal processing capabilities like arithmetic, FFT, and filters. Vendors like Xilinx and Altera are mentioned and their specific FPGA architectures are briefly outlined.
This document provides an introduction to embedded and real-time systems, focusing on the ARM processor, its architecture and peripherals. It discusses ARM architecture versions and instruction sets. It also covers the differences between Von Neumann and Harvard computer architectures. Real-time applications and the ARM dataflow operation are introduced. Specific topics covered include ARM-based products, ARM nomenclature, ARM features like its 32-bit architecture, load/store model and 3-stage pipeline. It also discusses ARM registers, modes of operation and the program status register.
The document discusses different classifications of embedded systems:
1. Stand-alone embedded systems do not require a host system and perform tasks independently, such as MP3 players and temperature measurement systems.
2. Real-time embedded systems must provide outputs within certain deadlines, like autopilot systems. Hard real-time systems guarantee deadlines while soft real-time systems mostly meet deadlines.
3. Networked embedded systems are connected to networks for accessing resources, like home security systems connected over TCP/IP.
4. Mobile embedded systems are used in portable devices with limited resources, such as cell phones and digital cameras.
This document discusses microprocessors and microcontrollers. It defines them as programmable electronic chips used for general or specific purposes respectively. The main differences are that microprocessors contain fewer hardware blocks and are used for general applications, while microcontrollers contain more integrated hardware and are used for specific applications. Examples of microprocessors include Intel Pentium, while microcontrollers are commonly used in devices like washing machines. Instruction sets, RISC vs CISC architectures, and applications of microcontrollers are also covered at a high level.
This is the presentation that was shared by Nilesh Ranpura and Vineeth Mathramkote at CDNLIVE 2015. The session briefs about the implementation challenges and covers the solution approach and how to achieve results
It describes the MMC storage device driver functionality in Linux Kernel and it's role. It explains different type of storage devices available and how they are handled from MMC driver point of view. It describes eMMC (internal storage) device and SD (external storage) devices in details and SD protocol used for communicating with these devices in Linux.
presentation on high-performance_dynamic_cmos_circuitJayminSojitra
This presentation discusses high-performance dynamic CMOS circuits. It introduces domino logic and how it avoids the race condition of cascaded dynamic CMOS by adding an inverter between logic stages. NP domino (NORA) logic is presented as an elegant solution that alternates N logic and P logic stages with complementary clocks to prevent erroneous evaluation. Other techniques discussed include mixing static and domino logic, zipper CMOS logic to improve charge sharing, and pipelined true single phase clock CMOS with latches between alternating NMOS and PMOS stages evaluated by a single clock signal. The overall goal is high performance, reliability, speed and compact logic design.
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
https://www.udemy.com/vlsi-academy
The very first step in chip design is floorplanning, in which the width and height of the chip, basically the area of the chip, is defined. A chip consists of two parts, 'core' and 'die'.
This document provides an overview of the data structures and functions used to implement ethernet drivers in the Linux kernel. It discusses the net_device and sk_buff structures that represent network interfaces and packets. It also describes how the driver interacts with the kernel via polling, interrupts, and NAPI to handle reception and transmission of frames. Finally, it provides an example of the key components needed for a simple ethernet driver, including initialization, setup, open/close, transmission, and reception functions.
Approaches for Power Management Verification of SOC DVClub
This document discusses approaches for power management verification of system-on-chips (SoCs) with dynamic power and voltage switching. It outlines the limitations of traditional simulators, and describes various tools and flows used at different design stages including dynamic simulation-based verification, static structural verification, and emulation-based approaches. Key challenges addressed include modeling of multiple voltage domains, retention behavior, and power-aware capabilities of different platforms.
The document discusses the inputs and outputs of place and route tools used in the chip design process. It describes the .cel, .blk, .par, and .net files that are used as inputs to specify connectivity, layout structure, global parameters, and nets. The outputs include the .p11, .p12, .pin, .twf, and .out files that describe placement and routing results. The document also briefly mentions design capture tools like HDL, schematics, and floor planning, as well as design verification tools such as simulation and timing verification.
Complex Programmable Logic Device (CPLD) Architecture and Its Applicationselprocus
A CPLD (complex programmable logic device) chip includes several circuit blocks on a single chip with inside wiring resources to attach the circuit blocks. Each circuit block is comparable to a PLA or a PAL.
EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...VIT-AP University
The document describes the design of reversible comparators and decoders using a novel 4x4 reversible gate called the inventive gate. It introduces the inventive gate and shows how it can realize various logic functions like AND, OR, XOR, etc. It then presents the design of a 2-to-4 reversible decoder using the inventive gate that generates 2 garbage outputs and requires 4 gates. Lemmas are provided to show an n-to-2n reversible decoder can be designed using a minimum of 2n+1 gates. The document goes on to describe the design of 1-bit, 2-bit, 8-bit, 32-bit and n-bit reversible comparators using the inventive gate with low values for
This document discusses the design of minimum cost, fault tolerant adder circuits in reversible logic for quantum computing. It aims to minimize quantum cost, reduce critical path delay and number of gates, and optimize garbage outputs. The document provides an overview of reversible and quantum computing principles. It then proposes designs for reversible fault tolerant full adders and carry skip/lookahead adders. Performance is analyzed in terms of gates, garbage outputs, delay and quantum cost, showing improvements over existing designs. The document concludes the reversible circuit designs are preferable for quantum computing due to their lower quantum costs.
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...shaotao liu
This paper presents a UPF-based static low-power verification flow for complex system-on-chip designs using VCLP. It describes challenges in verifying large SoC designs with complex power structures. Techniques for reducing UPF complexity include restructuring the UPF file, managing power states, merging analog power pins, and using black boxes. The paper demonstrates using VCLP for static low-power verification and discusses its limitations and potential enhancements.
13. peripheral component interconnect (pci)Rumah Belajar
Peripheral Component Interconnect (PCI) is a computer expansion bus standard that allows additional cards to be added to a computer motherboard. It uses a dual independent bus (DIB) architecture with a frontside bus and backside bus. PCI operates at speeds between 33-133 MHz and uses a 32-bit or 64-bit wide data path. Newer versions of PCI such as PCI-X and PCI Express have increased speeds and bandwidth capabilities.
https://www.udemy.com/vlsi-academy
Usually, while drawing any circuit on paper, we have only one 'vdd' at the top and one 'vss' at the bottom. But on a chip, it becomes necessary to have a grid structure of power, with more than one 'vdd' and 'vss'. The concept of power grid structure would be uploaded soon. It is actually the scaling trend that drives chip designers for power grid structure.
Before 2000 area, delay and performance were the most important parameters, if anyone design circuit the main focus was on how much less area is occupied by the circuit on the chip and what the speed is. Now situation is changed, the performance and speed is a secondary concern. In all nanometer (deep sub-micron) technology power becomes the most important parameter in the design. Almost all portable devices run on battery power. Power consumption is a very big challenge in modern-day VLSI design as technology is going to shrinks Because of
Increasing transistors count on small chip
Higher speed of operations
Greater device leakage currents
The document discusses different types of counters including ring counters, ripple counters, synchronous counters, and modulo-N counters. It provides details on their circuit designs and operating principles. Ring counters transfer a '1' output between stages with each clock cycle. Ripple counters use one flip-flop's output to clock the next. Synchronous counters apply clock pulses simultaneously. Modulo-N counters reset after N clock cycles using logic gates. Decade counters reset after a count of 10 to provide decimal output.
Routing is an important step in the design of integrated circuits. It involves generating metal wires to connect the pins of same signal while obeying manufacturing design rules. Before routing is performed on the design, cell placement has to be carried out wherein the cells used in the design are placed. But the connections between the pins of the cells pertaining to same signal need to be made. At the time of placement, there are only logical connections between these pins. The physical connections are made by routing. More generally speaking, routing is to locate a set of wires in routing space so as to connect all the nets in the netlist taking into consideration routing channels’ capacities, wire widths and crossings etc. The objective of routing is to minimize total wire length and number of vias and that each net meets its timing budget. The tools that perform routing are termed as routers. You typically provide them with a placed netlist along with list of timing critical nets. These tools, in turn, provide you with the geometry of all the nets in the design.
1.FPGA for dummies: Basic FPGA architecture Maurizio Donna
This document provides an overview of field programmable gate arrays (FPGAs). It describes the basic FPGA architecture including logic blocks, flip flops, wires, and input/output pads. It also discusses FPGA programming using hardware description languages and the design flow. Additional sections cover FPGA digital signal processing capabilities like arithmetic, FFT, and filters. Vendors like Xilinx and Altera are mentioned and their specific FPGA architectures are briefly outlined.
This document provides an introduction to embedded and real-time systems, focusing on the ARM processor, its architecture and peripherals. It discusses ARM architecture versions and instruction sets. It also covers the differences between Von Neumann and Harvard computer architectures. Real-time applications and the ARM dataflow operation are introduced. Specific topics covered include ARM-based products, ARM nomenclature, ARM features like its 32-bit architecture, load/store model and 3-stage pipeline. It also discusses ARM registers, modes of operation and the program status register.
The document discusses different classifications of embedded systems:
1. Stand-alone embedded systems do not require a host system and perform tasks independently, such as MP3 players and temperature measurement systems.
2. Real-time embedded systems must provide outputs within certain deadlines, like autopilot systems. Hard real-time systems guarantee deadlines while soft real-time systems mostly meet deadlines.
3. Networked embedded systems are connected to networks for accessing resources, like home security systems connected over TCP/IP.
4. Mobile embedded systems are used in portable devices with limited resources, such as cell phones and digital cameras.
This document discusses microprocessors and microcontrollers. It defines them as programmable electronic chips used for general or specific purposes respectively. The main differences are that microprocessors contain fewer hardware blocks and are used for general applications, while microcontrollers contain more integrated hardware and are used for specific applications. Examples of microprocessors include Intel Pentium, while microcontrollers are commonly used in devices like washing machines. Instruction sets, RISC vs CISC architectures, and applications of microcontrollers are also covered at a high level.
This is the presentation that was shared by Nilesh Ranpura and Vineeth Mathramkote at CDNLIVE 2015. The session briefs about the implementation challenges and covers the solution approach and how to achieve results
It describes the MMC storage device driver functionality in Linux Kernel and it's role. It explains different type of storage devices available and how they are handled from MMC driver point of view. It describes eMMC (internal storage) device and SD (external storage) devices in details and SD protocol used for communicating with these devices in Linux.
presentation on high-performance_dynamic_cmos_circuitJayminSojitra
This presentation discusses high-performance dynamic CMOS circuits. It introduces domino logic and how it avoids the race condition of cascaded dynamic CMOS by adding an inverter between logic stages. NP domino (NORA) logic is presented as an elegant solution that alternates N logic and P logic stages with complementary clocks to prevent erroneous evaluation. Other techniques discussed include mixing static and domino logic, zipper CMOS logic to improve charge sharing, and pipelined true single phase clock CMOS with latches between alternating NMOS and PMOS stages evaluated by a single clock signal. The overall goal is high performance, reliability, speed and compact logic design.
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
https://www.udemy.com/vlsi-academy
The very first step in chip design is floorplanning, in which the width and height of the chip, basically the area of the chip, is defined. A chip consists of two parts, 'core' and 'die'.
This document provides an overview of the data structures and functions used to implement ethernet drivers in the Linux kernel. It discusses the net_device and sk_buff structures that represent network interfaces and packets. It also describes how the driver interacts with the kernel via polling, interrupts, and NAPI to handle reception and transmission of frames. Finally, it provides an example of the key components needed for a simple ethernet driver, including initialization, setup, open/close, transmission, and reception functions.
Approaches for Power Management Verification of SOC DVClub
This document discusses approaches for power management verification of system-on-chips (SoCs) with dynamic power and voltage switching. It outlines the limitations of traditional simulators, and describes various tools and flows used at different design stages including dynamic simulation-based verification, static structural verification, and emulation-based approaches. Key challenges addressed include modeling of multiple voltage domains, retention behavior, and power-aware capabilities of different platforms.
The document discusses the inputs and outputs of place and route tools used in the chip design process. It describes the .cel, .blk, .par, and .net files that are used as inputs to specify connectivity, layout structure, global parameters, and nets. The outputs include the .p11, .p12, .pin, .twf, and .out files that describe placement and routing results. The document also briefly mentions design capture tools like HDL, schematics, and floor planning, as well as design verification tools such as simulation and timing verification.
Complex Programmable Logic Device (CPLD) Architecture and Its Applicationselprocus
A CPLD (complex programmable logic device) chip includes several circuit blocks on a single chip with inside wiring resources to attach the circuit blocks. Each circuit block is comparable to a PLA or a PAL.
EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...VIT-AP University
The document describes the design of reversible comparators and decoders using a novel 4x4 reversible gate called the inventive gate. It introduces the inventive gate and shows how it can realize various logic functions like AND, OR, XOR, etc. It then presents the design of a 2-to-4 reversible decoder using the inventive gate that generates 2 garbage outputs and requires 4 gates. Lemmas are provided to show an n-to-2n reversible decoder can be designed using a minimum of 2n+1 gates. The document goes on to describe the design of 1-bit, 2-bit, 8-bit, 32-bit and n-bit reversible comparators using the inventive gate with low values for
This document discusses the design of minimum cost, fault tolerant adder circuits in reversible logic for quantum computing. It aims to minimize quantum cost, reduce critical path delay and number of gates, and optimize garbage outputs. The document provides an overview of reversible and quantum computing principles. It then proposes designs for reversible fault tolerant full adders and carry skip/lookahead adders. Performance is analyzed in terms of gates, garbage outputs, delay and quantum cost, showing improvements over existing designs. The document concludes the reversible circuit designs are preferable for quantum computing due to their lower quantum costs.
The document discusses fault tolerant and online testability in reversible logic synthesis. It proposes a design for a fault tolerant full adder circuit using reversible logic that is both fault tolerant and online testable. The proposed design uses only 3x3 fault tolerant gates, has a minimum number of garbage outputs of 3, and has lower quantum cost compared to an existing design. Performance analysis shows the proposed design has advantages over the existing design in terms of number of gates, garbage outputs, and quantum cost.
Implementation of Reversable Logic Based Design using Submicron TechnologySai Viswanath
Reversible logic has emerged as a computing paradigm having application in low power CMOS, quantum and optical computing. Design of reversible logic gate is reversible operation, when we say reversible it performing computation in such a way that any previous state can be reconstructed at given a description of the current state. The classical set of gates such as AND, OR, and XOR are not reversible.
This presentation is a design of reversible logic gate used for reversible operation. When we say reversible computing, we mean performing computation in such a way that any previous state of the computation can always be reconstructed given a description of the current state. The classical set of gates such as AND, OR, and EXOR are not reversible. This paper also includes simulation results of forward & backward computation of reversible FREDKIN gate and TSG gate.
Presentation energy efficient code converters using reversible logic gatesAdityakumar2208
This document discusses energy efficient code converters using reversible logic gates. It outlines the drawbacks of irreversible computing such as energy dissipation and information loss. Reversible computing is more energy efficient and improves performance by recovering inputs from outputs. Code converters are used for encryption and decryption and allow for portability and tractability. A BCD to excess-3 converter is presented along with its truth table and block diagram. Reversible gates like the Feynman gate and NG gate are also discussed. The advantages of reversible gates include less energy dissipation and heat management. Designing reversible circuits is complex as garbage outputs must be minimized and loops and fan-out are not permitted. Reversible logic can be applied to code converters,
A low power adder using reversible logic gateseSAT Journals
Abstract
Reversible logic has emerged as one of the most important approaches for the power optimization with its application in low power
VLSI design. They are also the fundamental requirement for the emerging field of the Quantum computing having with applications in
the domains like Nano-technology, Digital signal processing, Cryptography, Communications. Implementing the reversible logic has
the advantages of reducing gate counts, garbage outputs as well as constant inputs. In contrast to conventional gates, reversible logic
gates have the same number of inputs and outputs, each of their output function is equal to 1 for exactly half its input assignments and
their fan-out is always equal to 1. It is interesting to compare both reversible and conventional gates. In this paper addition,
subtraction, operations are realized using reversible logic gates like DKG and TSG gate and compared with conventional gates.
Index Terms: Reversible logic, Quantum computing, Garbage outputs, Constant inputs
Quantum Cost Calculation of Reversible CircuitSajib Mitra
This document discusses the calculation of quantum cost for reversible circuits. It begins with an overview of reversible logic and quantum computing concepts like quantum gates. It then explains the realization of quantum NOT gate using quantum coin flips. Different quantum gates and their quantum costs are discussed, including Toffoli, Fredkin, Peres and NFT gates. Special cases involving quantum wires that have zero cost are also covered. The document concludes with an assignment to find the cost of additional gates and provides information about the author.
1) The document presents designs for reversible logic gates and their applications in low power circuits. It proposes an improved design for a reversible programmable logic array (RPLA) using multiplexer and Feynman gates that is more efficient than existing designs.
2) It also proposes a method for structuring a reversible arithmetic logic unit (ALU) using reversible logic gates instead of traditional gates, achieving the same functionality with reduced information loss.
3) The RPLA design is demonstrated by implementing reversible 1-bit full adders and subtractors. Simulation results show the proposed design optimizes the number of reversible gates used.
Nicholas King Jr. is a retired electrical engineer and scientist seeking employment in manufacturing, quality/reliability engineering, failure analysis, semiconductor fabrication/packaging, circuit design, or test/evaluation engineering. He has over 31 years of experience in these fields at IBM, including developing test programs and analyzing semiconductors down to 22nm. His technical skills include memory testing, reliability engineering, ESD skills, quality engineering, programming, and circuit design. He provides personal and work references from his time at IBM.
This document discusses challenges with characterizing high-performance memories and proposes a new "dynamic partitioning" approach. Existing approaches like block-based or static path characterization have accuracy issues and slow runtimes for large memories. Dynamic partitioning leverages full-instance simulation to identify critical paths and partition them for precise "true-spice" simulation, improving accuracy to <1% while speeding up runtimes over 10x. This approach provides comprehensive, precise models needed for advanced memories without slowing down characterization.
This document discusses SRAM redundancy insertion and its benefits and considerations for design and manufacturing. SRAM redundancy can improve chip yield by replacing defective memory bits with redundant rows and columns. While it requires additional design and testing costs, it can provide a return on investment through reduced unit costs with higher production volumes due to improved yields. Key factors that must be considered include the expected volume, SRAM size and layout, available redundancy in memory compilers and testing tools, additional area and test time overhead, and accurate yield projections with and without redundancy. An ROI analysis is needed to determine if SRAM redundancy will ultimately lower the cost per chip.
Mohit Srivastava is seeking a position that allows him to enhance his skills in a research and growth oriented organization. He has a M.Tech from IIIT Allahabad and B.Tech from Uma Nath Singh Institute of Engineering and Technology. His skills include C, C++, Perl, Matlab and he is familiar with Spice. He has over 2 years of experience as a Trainee and Associate Design Engineer at Si2Chip Technologies working on projects involving SRAM memory design, characterization and analysis. He also conducted research on emotion recognition from human speech for his M.Tech thesis.
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...idescitation
A lot of consideration has been given to problems arising due to power dissipation.
Different ideas have been proposed by many researchers from the device level to the
architectural level and above. However, there is no universal way to avoid tradeoffs between
the power, delay and area. This is why; the designers are required to choose appropriate
techniques that satisfy application and product needs. Another important component of
power which contributes to power dissipation is Dynamic Power. This power is increasing
due to prolonged use of the electronic equipments. This is due to the fact that now-a-days
people are working on electronic systems from morning till night; it may be a mobile phone
or a laptop or any other equipment. This paper deals with the estimation of two components
of power i.e. static power (when device is in the standby mode) and the average power
(average amount of energy consumed with respect to time) of a 6T and 7T SRAM (Static
Random Access Memory) bit-cell at 180nm, 90nm, and 45nm CMOS Technology. This is
done in order to estimate the power required for a high speed operation of 6T and 7T
SRAM bit-cell.
Suneel Muppuri is seeking a challenging position in a progressive organization where he can utilize his knowledge and skills. He has experience as a trainee engineer at Si2chip Technologies Pvt. Ltd where he designed and characterized SRAM bit cells and flip flops. He also has experience with MOS simulations, standard cells, and characterizing basic gates. He has an M.Tech in VLSI from Vellore Institute of Technology University and a B.Tech in EEE. His technical skills include CADENCE, Verilog, and areas of interest in verification and physical design.
Design of a 64-bit ultra low latency memory using 6T SRAM cells and PDK 45nm technology on CADENCE to simulate the results of our chosen implementation.
Emerging non-volatile memory (NVM) technologies like MRAM and PCRAM combine the speed of SRAM, density of DRAM, and non-volatility of flash memory. While they have attractive features like high density and non-volatility, they also have undesirable features like long write latency and low endurance. Replacing SRAM caches with MRAM can reduce cache misses but harm performance when write intensity is high due to MRAM's slow writes. A hybrid SRAM-MRAM cache can improve this by reducing MRAM write intensities. Emerging NVM also enables unique applications and new memory hierarchies using technologies like hybrid cache/memory architectures.
Bandpass Filter in S-Band by D.C.Vaghela,LJIET,Ahmedabad,Gujarat.Dipak Vaghela
This paper is to design bandpass filter suitable with center at 2.5 GHz. This application is in the S band range at
2.5 GHz center frequency currently being used for Indian Regional Navigation Satellite System (IRNSS) receiver. The filter
covers the centre frequency 2.5 GHz and the bandwidth is 80 MHz. This project was initiated with theoretical understanding
of various types of filter and their applications. And suitable type was selected. It functions to pass through the desired
frequencies within the range and block unwanted frequencies. In addition, filters are also needed to remove out harmonics
that are present in the communication system. It was design and simulated using ADS (Advanced Design System) software
This document summarizes a student project to design a 32KB SRAM memory array using Cadence Virtuoso and a 45nm technology. It includes the design of a 6 transistor SRAM cell, row and column decoders, critical path components like the precharge circuit and sense amplifiers. Two types of sense amplifiers - differential and latched-based were modeled and their read delay, power consumption and sizing were compared through simulation. The results showed that the latched-based sense amplifier consumed 14x less power, had less read delay and size making it a better choice compared to the differential sense amplifier.
An 8T SRAM cell enhances read stability by isolating the storage node from the bit lines, making it less dependent on process variations than a 6T SRAM cell. However, an 8T SRAM cell uses more area and has higher leakage current. An architectural SRAM design can minimize these tradeoffs by connecting 16 6T SRAM cells as a single cell, reducing bit line parasitic capacitance and read delay while improving stability over a 6T cell, all while using less area than an 8T cell. This interleaved SRAM design is well-suited for lower technology nodes.
GaAs PCM or WAT data to device model using Neural Network to predict device performance and yield and also target and verify device to process centering.
The document discusses using process control monitor (PCM) data from wafer fabrication to predict device performance and wafer yield. PCM data from various sites on the wafer are collected during fabrication and correlated with performance data from devices near those sites. A predictive model is created using the PCM data as inputs to predict device parameters and yield as outputs. The model allows early prediction of wafer and device quality before full testing. Neural networks and linear models were tested, with neural networks showing slightly better prediction accuracy. The model was deployed using a database and scripting to efficiently predict performance for new wafers based on their PCM data.
The document discusses uplink power control for 5G networks. It analyzes the performance of turbo codes, subcarrier mapping schemes, and DFT precoded/non-precoded systems over linear and non-linear channels. Simulations were conducted to analyze inter-carrier interference, multi-access interference, and the near-far effect in multi-user systems with different clipping levels. Results showed that DFT precoded systems and BIFDMA mapping performed better than alternatives in non-linear environments. Performance decreased with more users due to increased multi-access interference.
This document discusses techniques for mitigating single event upsets (SEUs) in SRAM-based FPGAs. It describes how SEUs have different effects in FPGAs compared to ASICs due to the programmable logic being implemented using SRAM cells. Triple modular redundancy (TMR) with voting is commonly used but has high area and power overhead. The document proposes a new technique that combines duplication with comparison and concurrent error detection to detect faults in the programmable logic while reducing overhead compared to TMR.
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...Ira Feldman
Please see full abstract on my blog: http://hightechbizdev.com/2014/06/12/ira-feldman-high-technology-business-development-ieee-semiconductor-wafer-test-workshop-2014-presentation/. Co-authored with Dave Armstrong (Advantest) and Marc Loranger (FormFactor).
This document discusses hardware design verification and testing techniques. It covers emulation architectures like FPGA-based and processor-based systems. It also discusses formal property verification methods, software formal verification, design for test objectives, chip-level DFT techniques, automatic test pattern generation, and testing techniques for analog/mixed-signal circuits like ADCs, PLLs and oscillators.
UNIT-III CASE STUDIES -FPGA & CPGA ARCHITECTURES APPLICATIONSDr.YNM
voltage circuits from the programming voltage.
This document discusses different types of programming technologies used in field programmable gate arrays (FPGAs). It describes SRAM-based programming technology, which is the most commonly used technology due to its re-programmability and use of standard CMOS processes. Flash programming technology and anti-fuse programming technology are also discussed. Each technology has advantages and disadvantages related to factors like area efficiency, volatility, re-programmability, and process requirements. The document provides detailed information on how each technology works at a circuit level.
The document discusses how MATLAB and NI tools can be used together to optimize wireless system design processes. It describes how they allow designing, analyzing, and testing of wireless standards, applying AI techniques to wireless applications, jointly optimizing digital, RF, and antenna components, implementing designs on hardware, simulating radar applications, and providing hands-on learning resources. Specific examples discussed include 5G design at Qualcomm, linearization algorithm development at NanoSemi, and teaching wireless communications with USRPs.
Discuss how to navigate in the Military RF Multi Chip Module (MCM) arena using commercial technology.
Discuss how to develop a successful strategy to
support typical Military Product Life Cycles
in conflict with Moore’s Law.
Discuss the Future of Microelectronics Technology
System Architectures Using OIF CEI-56G Interfaces by
Nathan Tracy, Technologist, TE Connectivity and Technical Committee Chair, OIF. Presentation at Fiber Optics Expo 2015 in Tokyo, Japan, April 9, 2015
The document discusses field programmable gate arrays (FPGAs), including their architecture, programming technologies, design process, and commercially available devices. FPGAs provide reprogrammability and fast time-to-market compared to application-specific integrated circuits (ASICs). The core of an FPGA consists of an array of configurable logic blocks and a hierarchical interconnect structure that allows the blocks to be wired together. FPGAs can be programmed using SRAM, antifuse, EPROM, or EEPROM technologies. Examples of popular FPGAs are Xilinx's XC4000 series with LUT-based logic blocks and Altera's MAX5000 series consisting of macrocells in logic array blocks.
This document provides an overview of FPGA architecture, including:
- FPGAs consist of configurable logic blocks placed in an interconnect framework allowing for customization.
- Field programmability is achieved through switches controlled by on-chip memory like SRAM, anti-fuses, or EPROM/EEPROM.
- Commercially available FPGAs like the Xilinx XC4000 and Altera MAX 5000 are examined as examples.
Slightly modified version of slides on BWA-MEM2 that I presented at IPDPS'19 for the paper: Efficient Architecture-Aware Acceleration of BWA-MEM for Multicore Systems. Vasimuddin Md, Sanchit Misra, Heng Li, Srinivas Aluru. IEEE International Parallel and Distributed Processing Symposium (IPDPS), 2019.
This document describes a master's thesis that designed and developed an all-digital testing system for static RAM based on an Xilinx Spartan-3 FPGA. The system tests SRAM chips and multi-chip modules for errors under nominal and radiation conditions. It implements write, read, and march test algorithms to detect faults. Errors are stored in the FPGA's block RAM and streamed to a PC application for visualization and analysis. The thesis presents the system components, algorithms, and experimental results.
The document provides an overview of the history and evolution of semiconductors and integrated circuits from 1947 to present. It discusses key inventions and milestones such as the transistor in 1947, the integrated circuit in 1961, and Moore's Law predicting transistor doubling every two years. It also covers different chip design approaches including full custom, standard cell, gate arrays, and FPGAs, along with their relative costs, performance, and design complexities.
This document provides an overview of FPGA architecture. It discusses that FPGAs offer designers more flexibility than ASICs or discrete components by being customizable in the field. The document then covers FPGA architecture including the use of configurable logic blocks within an interconnect framework. It also discusses different programming technologies for FPGAs such as SRAM, antifuse, EPROM/EEPROM. Examples are provided of commercially available FPGA devices from Xilinx and Altera.
This document provides an overview of FPGA architecture. It discusses the available choices for digital designers between using discrete components or programmable logic devices. It then examines FPGA technology in more detail, including the interconnect framework, field programmability using different technologies like SRAM, antifuse, EPROM, and EEPROM. Commercially available FPGA devices from Xilinx and Altera are also summarized.
The document presents a proposed current-based voltage regulator for non-volatile memory (NVM) such as phase change memory. It discusses concerns with scaling flash memory technology, alternatives like PCM and their operation. It then describes a voltage regulation scheme with different supply voltages for write drivers, column decoders and row decoders. The proposed current-based regulator design includes a three-stage error amplifier and current DAC for modulation. Biasing circuits are also presented. References are provided at the end related to PCM, voltage regulators, op-amp design techniques and voltage references.
2. Outline
• Motivation
• SRAM-based FPGA overview
• Radiation Effects in SRAM-based FPGAs
• SEU Mitigation Techniques in SRAM-based FPGAs
• Frame-level Redundancy Scrubbing Technique
– Performance Analysis
• Validation of FLR-Scrubbing
– Radiation Test Results
– Fault Injection Results
• Conclusions
Jorge Tonfat - Ph.D Thesis Defense 2
3. Outline
• Motivation
• SRAM-based FPGA overview
• Radiation Effects in SRAM-based FPGAs
• SEU Mitigation Techniques in SRAM-based FPGAs
• Frame-level Redundancy Scrubbing Technique
– Performance Analysis
• Validation of FLR-Scrubbing
– Radiation Test Results
– Fault Injection Results
• Conclusions
Jorge Tonfat - Ph.D Thesis Defense 3
4. SRAM-based FPGAs for critical applications
Transportation
Systems
Medical Devices
Aerospace Systems
Jorge Tonfat - Ph.D Thesis Defense 4
Motivation
5. SRAM-based FPGAs for critical applications
Transportation
Systems
Medical Devices
Aerospace Systems
Main
characteristic:
A system error is
unacceptable
Main
characteristic:
A system error is
unacceptable
Jorge Tonfat - Ph.D Thesis Defense 5
Motivation
6. FPGAs are attractive to critical systems:
• Reconfigurable on the field: the original design
can be updated/improved during lifetime
• High-density logic integration to implement
complex circuits
• Low design costs and low development time
compared to ASICs
SRAM-based FPGAs for critical applications
Jorge Tonfat - Ph.D Thesis Defense 6
Motivation
7. But SRAM-based FPGAs are susceptible to soft errors or
SEUs (Single Event Upsets).
SRAM-based FPGAs for critical applications
7
Motivation
Source: http://www.cotsjournalonline.com/articles/view/102279
12. FPGA Config. Mem. (Virtex 5 LX50T)
1 Frame has the
height of 1 row and
the width of 1 bit.
1 Frame has the
height of 1 row and
the width of 1 bit.
1 Frame – minimum fragment for read or write
1 Frame = 1312 bits = 41 words of 32
bits
Row 0
Row 1
Row 2
Row 0
Row 1
Row 2
Jorge Tonfat - Ph.D Thesis Defense 12
SRAM-based FPGA Overview
13. FPGA Config. Mem. (Virtex 5 LX50T)
1 Frame – minimum fragment for read or write
1 Frame = 1312 bits = 41 words of 32
bits
TOP
BOTTOM
Jorge Tonfat - Ph.D Thesis Defense 13
SRAM-based FPGA Overview
14. FPGA Config. Mem. (Virtex 5 LX50T)
1 Frame – minimum fragment for read or write
1 Frame = 1312 bits = 41 words of 32
bits
Row 0
Row 1
Row 2
Row 0
Row 1
Row 2
Jorge Tonfat - Ph.D Thesis Defense 14
SRAM-based FPGA Overview
15. FPGA Config. Mem. (Virtex 5 LX50T)
1 Frame – minimum fragment for read or write
1 Frame = 1312 bits = 41 words of 32
bits
CLB
COLUMN
BRAM
COLUMN
Each column is
composed of a group of
frames.
Each column is
composed of a group of
frames.
Jorge Tonfat - Ph.D Thesis Defense 15
SRAM-based FPGA Overview
16. FPGA Config. Mem. (Virtex 5 LX50T)
Number of frames depends
on the type of column (CLB,
BRAM, DSP, etc):
Number of frames depends
on the type of column (CLB,
BRAM, DSP, etc):
Type Width (frames)
IOB 54
CLB 36
BRAM 30
DSP 28
CLK 4
GTP 32
1 Frame – minimum fragment for read or write
1 Frame = 1312 bits = 41 words of 32
bits
CLB
COLUMN
BRAM
COLUMN Frame36
…Frame1
Frame2
Jorge Tonfat - Ph.D Thesis Defense 16
SRAM-based FPGA Overview
17. Outline
• Motivation
• SRAM-based FPGA overview
• Radiation Effects in SRAM-based FPGAs
• SEU Mitigation Techniques in SRAM-based FPGAs
• Frame-level Redundancy Scrubbing Technique
– Performance Analysis
• Validation of FLR-Scrubbing
– Radiation Test Results
– Fault Injection Results
• Conclusions
Jorge Tonfat - Ph.D Thesis Defense 17
18. SRAM-based FPGAs under Radiation
Configuration memory bits
ICAP
Application Layer
DSP
DSP BRAM
BRAM
LOGIC
LOGIC
Bit-flips (SEUs) have persistent effect
Correct upsets by reconfiguration
Configuration Layer
composed of millions of SRAM cells
Jorge Tonfat - Ph.D Thesis Defense 18
Radiation Effects in SRAM-based FPGAs
19. SRAM-based FPGAs under Radiation
Configuration memory bits
ICAP
DSP
DSP BRAM
BRAM
LOGIC
LOGIC
Bit-flips (SEUs) have persistent effect
Correct upsets by reconfiguration
SEU and SET have transient effect
Mask errors by
Triple Modular Redundancy (TMR)
Configuration Layer
composed of millions of SRAM cells
Application Layer
Jorge Tonfat - Ph.D Thesis Defense 19
Radiation Effects in SRAM-based FPGAs
20. Trends On Soft Error Rate (SER) in SRAM-
based FPGAs
More density of
Bitstream
Increase SER!
Jorge Tonfat - Ph.D Thesis Defense 20
Radiation Effects in SRAM-based FPGAs
SER = Soft Error Rate
21. Trends On Soft Error Rate (SER) in SRAM-based FPGAs
At nominal VDD
and temperature
At nominal VDD
and temperature
Jorge Tonfat - Ph.D Thesis Defense 21
Radiation Effects in SRAM-based FPGAs
Data from Xilinx Reliability Report second half 2014
Real Time Soft Error Rate
22. Trends On Soft Error Rate (SER) in SRAM-
based FPGAs
22
Radiation Effects in SRAM-based FPGAs
23. F. L. Kastensmidt, J. Tonfat, T. Both, P. Rech, G. Wirth, R. Reis, F. Bruguier, P. Benoit, L. Torres, and C. Frost, “Voltage scaling
and aging effects on soft error rate in SRAM-based FPGAs,” Microelectronics Reliability, 2014.
Trends On Soft Error Rate (SER) in SRAM-based FPGAs
Low VDD + Low Power Techniques, Aging effects
23
Radiation Effects in SRAM-based FPGAs
24. IBE, E. et al. “Impact of Scaling on Neutron-Induced Soft Error in SRAMs From a 250 nm to a 22 nm Design Rule”. IEEE
Transactions on Electron Devices, 2010.
Trends On soft error rate (SER) in SRAM-
based FPGAs
Frame A
Frame B
Inter-Frame
Multiple bit-flips
(MCU)
Intra-Frame
Multiple bit-flips
(MBU)
MBU events are
increasing
24
Virtex -5 65 nm
Radiation Effects in SRAM-based FPGAs
25. M. Wirthlin, D. Lee, G. Swift, and H. Quinn, “A Method and Case Study on Identifying Physically Adjacent Multiple-Cell
Upsets Using 28-nm, Interleaved and SECDED-Protected Arrays,” IEEE Transactions on Nuclear Science, 2014.
Trends On soft error rate (SER) in SRAM-based
FPGAs
25
Kintex-7 FPGA (28 nm)
Radiation Effects in SRAM-based FPGAs
26. M. Wirthlin, H. Takai, and A. Harding, “Soft error rate estimations of the Kintex-7 FPGA within the ATLAS Liquid Argon (LAr)
Calorimeter,” Journal of Instrumentation, 2014. 26
Trends On soft error rate (SER) in SRAM-based
FPGAs
Radiation levels at CERN are higher than at space
Kintex 7 325T (Series-7 FPGA) :
Configuration bits = 67.9 Mbits
BRAM bits = 16.4 Mbits
SEU rate for configuration memory (Kintex-7 325T): 1 SEU each 150 secs!
Fast
accumulation
of SEUs
Radiation Effects in SRAM-based FPGAs
27. Problem Definition
Increasing
SEUs
More density
Increasing
MBUs
Closer and smaller
transistors
Increasing
Density
(Smaller transistors =>
More transistors per
area, low power,
faster devices)
scrubbing
More transistors to
correct at same speed
Higher scrubbing rate
Accumulation of
faults and MBUs
Higher probability of
system error
Reduction of mean time
to failure (MTTF)
Technology
trend
Threats Problem
Voltage
Scaling
and Aging
Jorge Tonfat - Ph.D Thesis Defense 27
Radiation Effects in SRAM-based FPGAs
28. Problem Definition
Increasing
SEUs
More density
Increasing
MBUs
Closer and smaller
transistors
Increasing
Density
(Smaller transistors =>
More transistors per
area, low power,
faster devices)
scrubbing
More transistors to
correct at same speed
Higher scrubbing rate
Accumulation of
faults and MBUs
Higher probability of
system error
Reduction of mean time
to failure (MTTF)
Technology
trend
Threats Problem
Voltage
Scaling
and Aging
Jorge Tonfat - Ph.D Thesis Defense 28
Radiation Effects in SRAM-based FPGAs
29. Outline
• Motivation
• SRAM-based FPGA overview
• Radiation Effects in SRAM-based FPGAs
• SEU Mitigation Techniques in SRAM-based FPGAs
• Frame-level Redundancy Scrubbing Technique
– Performance Analysis
• Validation of FLR-Scrubbing
– Radiation Test Results
– Fault Injection Results
• Conclusions
Jorge Tonfat - Ph.D Thesis Defense 29
30. • Two complementary techniques are used:
Error Masking
Fault Correction
1
2
3
Voter
Full Reconfiguration
Non-volatile
Memory
Micro
processor
FPGA
SelectMAP
Triple Modular Redundancy
(TMR)
Jorge Tonfat - Ph.D Thesis Defense 30
SEU Mitigation Techniques in SRAM-based FPGAs
36. Jorge Tonfat - Ph.D Thesis Defense
But without
redundant
information of the
bitstream, how are
we going to correct
the upsets?
But without
redundant
information of the
bitstream, how are
we going to correct
the upsets?
Memory Scrubbing: eliminating the golden
memory
• Advantages:
Lower Power Consumption
Lower time to repair
Non-volatile
Memory
FPGA
ICAP
Scrubber
Slow Interface!
Fast Interface!
100 times faster!
YANG, E. et al. HHC: Hierarchical hardware checkpointing to accelerate fault
recovery for SRAM-based FPGAs. IOLTS, 2013 36
SEU Mitigation Techniques in SRAM-based FPGAs
37. Xilinx Approach
• Use Hamming Codes per frame and CRC codes
for all frames.
• Limitation: can only correct 1 bit-flip and
detect two bit-flips.
Jorge Tonfat - Ph.D Thesis Defense 37
SEU Mitigation Techniques in SRAM-based FPGAs
38. Ad hoc Error Detection and Correction Codes
38
RAO, P. M. B. et al.
DAC, 2014.
SEU Mitigation Techniques in SRAM-based FPGAs
39. Trade-off Area vs. Repair time
Jorge Tonfat - Ph.D Thesis Defense 39
RAO, P. M. B. et al.
DAC, 2014.
SEU Mitigation Techniques in SRAM-based FPGAs
40. Outline
• Motivation
• SRAM-based FPGA overview
• Radiation Effects in SRAM-based FPGAs
• SEU Mitigation Techniques in SRAM-based FPGAs
• Frame-level Redundancy Scrubbing Technique
– Performance Analysis
• Validation of FLR-Scrubbing
– Radiation Test Results
– Fault Injection Results
• Conclusions
Jorge Tonfat - Ph.D Thesis Defense 40
41. Memory Scrubbing: eliminating the
golden memory
• Advantages:
– Lower Power
Consumption
– Lower time to repair
Flash
Memory
FPGA
ICAP
Scrubber
Slow Interface!
Fast Interface!
100 times faster!
YANG, E. et al. HHC: Hierarchical hardware checkpointing to accelerate fault
recovery for SRAM-based FPGAs. IOLTS, 2013
But without redundant
information of the
bitstream, how are we
going to correct the
upsets?
But without redundant
information of the
bitstream, how are we
going to correct the
upsets?
Jorge Tonfat - Ph.D Thesis Defense 41
Frame-level Redundancy Scrubbing Technique
47. Scrubbing Mechanism
TMR
Domain 1
TMR
Domain 2
TMR
Domain 3
0
1
0
0
1
0
1
1
...
0
1
0
0
1
0
1
1
...
0
1
0
0
1
0
1
1
...
Scrubber controller
(frame buffers )
Procedure:
Read frame X from module 1
Read frame X from module 2
Read frame X from module 3
Vote
If fault is detected in Mod. 1 then
write frame X in Module 1
If fault is detected in Mod. 2 then
write frame X in module 2
If fault is detected in Mod. 3 then
write frame X in module 3
47Jorge Tonfat - Ph.D Thesis Defense
Frame-level Redundancy Scrubbing Technique
49. • Evaluated parameters:
– Area overhead
– Power consumption
– Time to repair
• Device: Virtex-5 XC5VLX50T-FFG1136
– 65 nm
– VDD = 1.0 V
Jorge Tonfat - Ph.D Thesis Defense 49
Frame-level Redundancy Scrubbing Technique: Performance Analysis
50. TONFAT, J.; KASTENSMIDT, F.; REIS, R. Energy Efficient Frame-level Redundancy Scrubbing Technique for SRAM-based FPGAs.
In: 2015 NASA/ESA Conference on Adaptive Hardware and Systems, 2015.
Area Overhead
Scheme CLBs BRAMs External
Memory
Constant
Overhead
Work in (RAO et al.,
2014)a
1100
(6 %)
4
(1 %)
No No
Xilinx SEU Controller
(CHAPMAN, 2010)
98
(3 %)
1
(2 %)
No Yes
Xilinx SEM IP (XILINX,
2012a)b
108
(2 %)
3
(1 %)
Yes No
Blind Scrubbing (TMR) 341
(10 %)
12
(20 %)
Yes Yes
FLR-Scrubbing (TMR) 113
(3 %)
6
(10 %)
No Yes
aimplemented for a Virtex-6 FPGA with 50 clusters and TMR redundancy.
bimplemented for an Artix-7 FPGA with no optional features.
50
Frame-level Redundancy Scrubbing Technique: Performance Analysis
51. TONFAT, J.; KASTENSMIDT, F.; REIS, R. Energy Efficient Frame-level Redundancy Scrubbing Technique for SRAM-based FPGAs.
In: 2015 NASA/ESA Conference on Adaptive Hardware and Systems, 2015.
Energy consumption comparison
FLR-scrubbing
(TMR)
Blind scrubbing (TMR)
Parameter FPGA Core Source
(1.0 V)
FPGA Core
Source (1.0 V)
Flash Memory
Source (1.8 V)
Total
Sources
Dynamic Power
(RMS)
33.24 mW 21.2 mW 3.93 mW
25.13
mW
Scrub cycle
time
3.67 ms @ 50MHz 178.55 ms @ 50MHz
Energy per
scrub cycle
121.9 μ J 3.785 mJ 0.7 mJ 4.485 mJ
Number of
frames
1386 8376 (all device)
Energy to scrub
a frame
87.9 nJ
451.9 nJ
(84.3%)
83.89 nJ (15.7%) 535.79 nJ
51
Frame-level Redundancy Scrubbing Technique: Performance Analysis
52. TONFAT, J.; KASTENSMIDT, F.; REIS, R. Energy Efficient Frame-level Redundancy Scrubbing Technique for SRAM-based FPGAs.
In: 2015 NASA/ESA Conference on Adaptive Hardware and Systems, 2015.
Time to Repair one Frame
Scheme
Characteristics Time to repair
one frame (μs)
Work by (RAO et al., 2014)a BRAM use / various
parity frame bits
351
Xilinx SEU Controller (CHAPMAN,
2010)
Scrubber controller is
a PicoBlaze
240
Xilinx SEM IP (XILINX, 2012a)b Use external memory 12
Blind Scrubbing (TMR) Use external memory 21
FLR-Scrubbing (TMR) No BRAM, No
external memory,
FSM-based
5
aimplemented for a Virtex-6 FPGA with 50 clusters and TMR redundancy.
bimplemented for an Artix-7 FPGA with no optional features.
52
Frame-level Redundancy Scrubbing Technique: Performance Analysis
55. TMR Domain 1
TMR Domain 2
TMR Domain 3
TMR
Scrubber
ICAP
Radiation Test
Floorplann
Objective: Test the
efficiency of the
scrubbing technique to
correct multiple
accumulated SEUs and
MBUs.
Jorge Tonfat - Ph.D Thesis Defense
55
Validation of FLR-Scrubbing: Radiation Test Results
56. Test Details
Jorge Tonfat - Ph.D Thesis Defense 56
Validation of FLR-Scrubbing: Radiation Test Results
Los Alamos National Laboratory (LANL), Los Alamos
Neutron Science Center (LANSCE) in December 2014.
Average neutron flux = 1.43×106(n/cm2× s).
Protected area: 1,386 configuration frames
720 CLBs (20 %) and 24 18K BRAM blocks (20 %)
Two scrubbing rates : 30 min and 60 min
57. Graphical view of readbacks of a
correct run
Jorge Tonfat - Ph.D Thesis Defense 57
Validation of FLR-Scrubbing: Radiation Test Results
58. Graphical view of readbacks of an
incorrect run
Jorge Tonfat - Ph.D Thesis Defense 58
Validation of FLR-Scrubbing: Radiation Test Results
59. TONFAT, J.; RECH, P.; KASTENSMIDT, F.; REIS, R.; QUINN, H. Analyzing the Effectiveness of a Novel Frame-level Redundancy
Scrubbing Technique for SRAM-based FPGAs. In: 2015 Nuclear and Space Radiation Effects Conference NSREC, 2015.
Analyzing Results
avg. acc.
upsets
Total
runs
Case 1 Case 2 Case 3 Case 4
Scrub rate
1 (30 min)
145.7 33 87.8% 6.1% 6.1% 0%
Scrub rate
2 (60 min)
274 30 66.7% 26.7% 3.3% 3.3%
59
Validation of FLR-Scrubbing: Radiation Test Results
Result
Classification
Scrubber Report Readback Files
Case 1 Correct Confirm
Case 2 Wrong Confirm
Case 3 Correct Not Confirm
Case 4 Wrong Not Confirm
60. TONFAT, J.; RECH, P.; KASTENSMIDT, F.; REIS, R.; QUINN, H. Analyzing the Effectiveness of a Novel Frame-level Redundancy
Scrubbing Technique for SRAM-based FPGAs. In: 2015 Nuclear and Space Radiation Effects Conference NSREC, 2015.
Reliability of the Scrubber
Cross-section (cm2) SER (FIT)
Scrub rate 1 (30 min) 4.41×10−11 5.73
Scrub rate 2 (60 min) 6.59×10−11 8.57
Xilinx SEU Controller
(CHAPMAN, 2010)
N/A 8.6
60
Validation of FLR-Scrubbing: Radiation Test Results
62. Fault Injection
Floorplann
TMR Domain 1
TMR Domain 2
TMR Domain 3
Scrubber
Fault Injector
ICAP
Objective: Find the
maximum
accumulated SEUs
that the scrubbing
technique can correct
Jorge Tonfat - Ph.D Thesis Defense
62
Validation of FLR-Scrubbing: Fault Injection Results
63. Proposed Fault Injection Platform
Susceptible area
Fault Injector
ICAP ICAP
Controller
FPGA
RS-232
SEU locations
database bank
PicoBlaze
Memory
Controller
JTAG
Jorge Tonfat - Ph.D Thesis Defense 63
Validation of FLR-Scrubbing: Fault Injection Results
64. Fault Injection Methodology
Jorge Tonfat - Ph.D Thesis Defense 64
Time (s)
Accumulated
upsets
10
20
30
40
50
60
70
80
90
100
110
120
Scrubbing executed successfully
Scrubbing executed with errors
Validation of FLR-Scrubbing: Fault Injection Results
65. TONFAT, J.; RECH, P.; KASTENSMIDT, F.; REIS, R.; QUINN, H. Analyzing the Effectiveness of a Novel
Frame-level Redundancy Scrubbing Technique for SRAM-based FPGAs. In: 2015 Nuclear and
Space Radiation Effects Conference NSREC, 2015.
Fault Injection Results
65
Max. Acc. SEUs
corrected
Mean 1136.6
σ 562.5
Min 83
Max 2656
Validation of FLR-Scrubbing: Fault Injection Results
66. Example of a Fault Injection Campaign
224 injected faults in the
protected area
3 remain faults after the scrub cycle
Jorge Tonfat - Ph.D Thesis Defense 66
Validation of FLR-Scrubbing: Fault Injection Results
68. In the first part of this work, we observe the
influence of aging and voltage scaling to the soft
error rate in SRAM-based FPGAs.
Results have shown that the error rate can
increase more than twice when considering
aging and voltage scaling, so it is important to
add this type of measurement and discussions
when considering FPGAs for high reliable
applications.
Jorge Tonfat - Ph.D Thesis Defense 68
Conclusions
69. We have presented a novel scrubbing mechanism
that can correct accumulated SEUs and MBUs in the
configuration memory of SRAM-based FPGAs.
The correction mechanism does not need an
external memory, reducing the system energy
consumption and the time to repair the fault.
This technique offers good characteristics in terms
of area and energy overhead with low repair
latency compared with other solutions.
A comparison with a blind scrubber shows an
energy reduction of six times.
Jorge Tonfat - Ph.D Thesis Defense 69
Conclusions
70. Also, a fault injection system was developed
with the information of previous radiation tests.
Results show an approximation of the maximum
number of corrected SEUs.
Radiation test results demonstrated that the
proposed technique is suitable for correcting
accumulated SEUs and MBUs.
There is no evidence in the results that the
technique cannot detect nor correct
accumulated faults.
Jorge Tonfat - Ph.D Thesis Defense 70
Conclusions
71. • TONFAT, J.; RECH, P.; KASTENSMIDT, F.; REIS, R.; QUINN, H.
Analyzing the Effectiveness of a Novel Frame-level Redundancy
Scrubbing Technique for SRAM-based FPGAs. In: 2015 Nuclear
and Space Radiation Effects Conference NSREC, 2015. (should
be published in IEEE Transactions on Nuclear Science) .
• TONFAT, J.; KASTENSMIDT, F.; REIS, R. Energy Efficient Frame-
level Redundancy Scrubbing Technique for SRAM-based FPGAs.
In: 2015 NASA/ESA Conference on Adaptive Hardware and
Systems, 2015.
• TONFAT, J.; RECH, P.; KASTENSMIDT, F.; REIS, R.; QUINN, H. A
Novel Frame-level Redundancy Scrubbing Technique for SRAM-
based FPGAs. In: 2015 Military and Aerospace Programmable
Logic Devices (MAPLD) Workshop, 2015.
• TONFAT, J.; KASTENSMIDT, F.; REIS, R. Frame-level Redundancy
Correction Technique for SRAM-based FPGAs. In: 2015 LASCAS
Forum for Young Professionals/MSc/PhD Students, 2015.
Jorge Tonfat - Ph.D Thesis Defense
71
Publications
72. Jorge Tonfat - Ph.D Thesis Defense 72
• TARRILLO, J.; TONFAT, J.; TAMBARA, L.; KASTENSMIDT, F.; REIS, R. Multiple Fault
Injection Platform for SRAM-Based FPGA Based on Ground-Level Radiation
Experiments. In: 2015 16th Latin American Test Symposium - LATS. IEEE, 2015.
• TAMBARA, L.; TONFAT, J.; REIS, R.; KASTENSMIDT, F.; PEREIRA, E.; VAZ, R.;
GONÇALEZ, O. Soft error rate in SRAM-based FPGAs under neutron-induced and
TID effects. In: 2014 15th Latin American Test Workshop - LATW. IEEE, 2014. v. 6,
p. 1-6.
• KASTENSMIDT, F.; TONFAT, J.; BOTH, T.; RECH, P.; WIRTH, G.; REIS, R.; BRUGUIER, F.;
BENOIT, P.; TORRES, L.; FROST, C. Aging and Voltage Scaling Impacts under
Neutroninduced Soft Error Rate in SRAM-based FPGAs. In: Test Symposium (ETS),
2014 19th IEEE European. [S.l.: s.n.], 2014. p. 1-2.
• TONFAT, J.; AZAMBUJA, J.; NAZAR, G.; RECH, P.; FROST, C.; KASTENSMIDT, F.;
CARRO, L.; REIS, R.; BENFICA, J.; VARGAS, F.; BEZERRA, E. Measuring the Impact of
Voltage Scaling for Soft Errors in SRAM-based FPGAs From a Designer Perspective.
In: Mixed-Signals, Sensors and Systems TestWorkshop (IMS3TW), 2014 19th
International. [S.l.: s.n.], 2014. p. 1-6.
• TONFAT, J.; AZAMBUJA, J.; NAZAR, G.; RECH, P.; FROST, C.; KASTENSMIDT, F.;
CARRO, L.; REIS, R.; BENFICA, J.; VARGAS, F.; BEZERRA, E. Analyzing the influence of
voltage scaling for soft errors in SRAM-based FPGAs. In: Radiation and Its Effects
on Components and Systems (RADECS), 2013 14th European Conference on. [S.l.:
s.n.], 2013. p. 1-5.
Publications
73. • 2nd Prize in the 2015 LASCAS Forum for Young
Professionals/MSc/PhD Students in
Montevideo, Uruguay.
• Best Paper Award at Simpósio Sul de
Microeletrônica SIM 2015 in Santa Maria,
Brazil.
Jorge Tonfat - Ph.D Thesis Defense 73
Awards
74. Frame-Level Redundancy Scrubbing
Technique for SRAM-based FPGAs
Ph.D. Thesis Defense
By Jorge Tonfat
Advisor: Ricardo Reis
Co-advisor: Fernanda L. Kastensmidt
¡Gracias!
Obrigado!
79. Start
Wait for frame address and bit(s)
positions
Read the selected frame
Flip the selected bit(s)
Write back the modified frame
Read back the modified frame to verify
the injection
Fault injection
successful?
Report a fault injection
error
yes
no
ICAP controller
Jorge Tonfat - Ph.D Thesis Defense 79/64
80. PicoBlazeStart
Wait for the start memory position of
SEU database
Wait for the fault injection rate
Wait for the fault-free area
definition
Start fault injection campaign
Read SEU position data from external memory:
frame address and bit(s) positions
SEU data outside
fault-free area ?
yes
no
Inject fault
Time delay defined by
fault injection rate
Jorge Tonfat - Ph.D Thesis Defense 80/64
81. PC ScriptStart
Configure the FPGA with the DUT + fault injector
Set the start memory position of SEU database
Start fault injection campaign
Set fault injection rate
Set fault-free area
DUT end condition
reached?
Max. Campaign Time
reached?
yes
no
no
Create campaign report
yes
Set max. time per campaign
Jorge Tonfat - Ph.D Thesis Defense 81/64
82. Start
Configure the FPGA with golden bitstream
Radiation experiment starts
Read FPGA bitstream
Differences between
current and last
readback?
Save bitflip(s) position(s) and
time
noyes
Procedure to static test
Jorge Tonfat - Ph.D Thesis Defense 82/64
83. Test in Los Alamos –Dec 2014
Jorge Tonfat - Ph.D Thesis Defense 83/64
84. 11.9
24.7
48.0
70.1
98.3
11.7
22.5
33.3
86.5
100.5
0
20
40
60
80
100
120
1 2 3 4 5
Averangenumberof
accumulatedupsets
Number of faulty modules
Fault Injection
ISIS
1.7%
9.8%
44.4%
19.7%
2,19%
Results
Case-study: 7MR of adder chains. Implemented in
Virtex-5 XC5VLX50T
Neutron experiment
84
Radiation test: more than 100 hours
Fault injection: Few minutes
85. Future works
Jorge Tonfat - Ph.D Thesis Defense 85/67
• Combine the FLR-scrubbing technique with
Frame ECC from Xilinx to improve the
correction capability.