SlideShare a Scribd company logo
Intro Issues Checks
PowerPlanning
How to Plan your own chip
Ahmed Abdelazeem
Faculty of Engineering
Zagazig University
RTL2GDSII Flow, February 2022
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks
Table of Contents
1 Introduction
2 Power Planning Issues
3 Power Plan Checks
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Table of Contents
1 Introduction
2 Power Planning Issues
3 Power Plan Checks
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
PowerPlanning
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Objective of PowerPlanning
To distribute the power from power pads to all elements in
the chip.
Unified supply of power with less voltage drop
A proper Power design should aim at using as less routing
recourse as possible.
Power Analysis (EMIR) check should be done after power
planning is completed
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
PowerPlanning
Creation of the power network within a design
Power planning is integrated with the overall design flow and
must be taken into account early in the design process
because:
# of pads may determine physical size (pad limited).
The power structures within the core area consume physical
area.
The power grid topology effects top level routability, and also
placement and routing within the child blocks.
The power structure effects functionality and reliability.
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Simplified Power Distribution Architecture
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Power Network Elements
Power Pad
Trunks
Connects Ring to Power Pad
Power Rings
Form complete rings around the periphery of the die, around
individual hard macros, or inside of hierarchical blocks
higher-level Metal layers Power
Power Stripes
Carries VDD and VSS from Rings across the chip
Horizontal and vertical metal wires placed in an array across
the entire or section die
higher level routing layers
typically uniformly distributed across the die.
Power Rails
Is used to connect the standard cell power rails together, and
or power straps.
Low level, typically metal 1.
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Power Estimations
Power Estimation is based on total power consumed by the chip:
IO Power
Core Power (Std. Cells +Macros)
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Power Planning
Power Planning includes:
proper Estimation of power of chip
power routing the design based on the estimation.
We create a mesh kind of structure, so that instance(s) can
take direct supply from the nearest point
We create multiple VDD and VSS lines(for each power
domain)
Hierarchical Mesh from upper metal layers to lowest(Ml or M2
layers for standard cells). Connection from higher to adjacent
lower metal layer is through VIAs
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Power Mesh
Power/Ground mesh will allow multiple paths from P/G
sources to destinations
Hierarchical power and ground meshes from upper metal layers
to lower metal layers
Multiple vias between layers
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Why create mesh kind of structure ?
To distribute the Power from power pads/pins to all elements
of the chip.
Provides multiple paths from PG sources to destinations (less
series resistance)
Uniformly distribute power with less voltage drop.
To meet IR/EM targets
For meeting timing requirements
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Design Objective Power
Power Planning vs. Power Routing
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks IR Drop Ground Bounce EM
Table of Contents
1 Introduction
2 Power Planning Issues
3 Power Plan Checks
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks IR Drop Ground Bounce EM
IR Drop
Reduction in voltage that occurs on power supply networks
IC design expects availability of ideal power supply
In reality, localized voltage drops within the power grid
Increasing current/area on die
Narrower metal line widths (increases power grid resistance)
Results in decreased power supply voltage at cells/transistors
Decreases the operating voltage of the chip, resulting in
timing and functional failures
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks IR Drop Ground Bounce EM
Reasons of IR Drop Violations
Power structure is not proper.
Cell density is very high.
Instances are not get proper power because of no straps over
there
Mesh structure is proper but there is no via
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks IR Drop Ground Bounce EM
How to reduce IR drop ?
Routing should be from Top Layer.
By adding some more Power Stripes.
By increasing the width of the metal.
By adding Decaps(DCAP cells).
By using some Low Power Techniques
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks IR Drop Ground Bounce EM
Ground Bounce
Increase in voltage that occurs on ground networks (VSS or
GND) in integrated circuits
Increase in ground voltage decreases the operating voltage of
the chip, resulting in timing and functional problems
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks IR Drop Ground Bounce EM
Electromigration
Electromigration is the movement of atoms based on the flow
of current through a material.
If the current density is high enough, the heat dissipated
within the material will repeatedly break atoms from the
structure and move them.
Results of EM in ICs: The VOIDs and HILLOCKS gets
created and potentially causing open and short circuits.
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks IR Drop Ground Bounce EM
EM violations : Reasons of EM violation
High Fanout Net (multiple fanout cells switch simultaneously,
draws larger current from driver)
Higher Driver Strength Cells(delivers large current
unnecessarily, heating up the wire)
Higher frequency(quick transitions)
Narrow metal width
Metal slotting (resulting into narrower widths)
Long Nets (because of larger resistance, higher localized
temperature)
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks IR Drop Ground Bounce EM
Solutions of EM violations
Decrease Driver’s drive Strength.
NonDefault (wider) rule based routing.
Insert buffer on long nets.
Route with higher metal layers(lessresistive, higher tolerance
(current carrying capabilities)
Use multi-Cut Via
Break the fanout (have lesser fanouts)
Use wider metals (more width)
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Checks
Table of Contents
1 Introduction
2 Power Planning Issues
3 Power Plan Checks
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Checks
Power Plan Checks
There should be no open connection
All the Macros should be hooked up with Power/Ground.
IR/EM target should be met.
Missing Vias should be taken care
There should be no Hot Spots (during IR-Drop Analysis)
Ahmed Abdelazeem ASIC Physical Design
Intro Issues Checks Checks
....
ÕækQË@ áÔgQË@ éÊË@ Õæ„.
C

JÊ
¯ B

@ Õ

Ϊ

Ë@ áÓ Õ
æJKð@ AÓð
Ahmed Abdelazeem ASIC Physical Design

More Related Content

What's hot

Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdf
Ahmed Abdelazeem
 
Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
Ahmed Abdelazeem
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
Ahmed Abdelazeem
 
STA.pdf
STA.pdfSTA.pdf
ASIC Design.pdf
ASIC Design.pdfASIC Design.pdf
ASIC Design.pdf
Ahmed Abdelazeem
 
7. Placement.pdf
7. Placement.pdf7. Placement.pdf
7. Placement.pdf
Ahmed Abdelazeem
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptx
Ahmed Abdelazeem
 
Physical design
Physical design Physical design
Physical design
Manjunath hosmani
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
Jayant Suthar
 
8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf
Ahmed Abdelazeem
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Mostafa Khamis
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSI
Surya Raj
 
4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx
Ahmed Abdelazeem
 
Physical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdfPhysical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdf
Ahmed Abdelazeem
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
Kishore Sai Addanki
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
M Mei
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
Murali Rai
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical designsasikun
 
5. DFT.pptx
5. DFT.pptx5. DFT.pptx
5. DFT.pptx
Ahmed Abdelazeem
 

What's hot (20)

Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdf
 
Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
 
STA.pdf
STA.pdfSTA.pdf
STA.pdf
 
ASIC Design.pdf
ASIC Design.pdfASIC Design.pdf
ASIC Design.pdf
 
7. Placement.pdf
7. Placement.pdf7. Placement.pdf
7. Placement.pdf
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptx
 
Physical design
Physical design Physical design
Physical design
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
 
8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSI
 
4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx
 
Physical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdfPhysical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdf
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical design
 
5. DFT.pptx
5. DFT.pptx5. DFT.pptx
5. DFT.pptx
 

Similar to PowerPlanning.pdf

C:\fakepath\micrologic track c
C:\fakepath\micrologic   track cC:\fakepath\micrologic   track c
C:\fakepath\micrologic track cAlona Gradman
 
Seminar report on electric discharge machine
Seminar report on electric discharge machineSeminar report on electric discharge machine
Seminar report on electric discharge machineAnkit Amlan
 
Designing Solar PV Systems ( Utility Scale)
Designing Solar PV Systems ( Utility Scale)Designing Solar PV Systems ( Utility Scale)
Designing Solar PV Systems ( Utility Scale)
Sunrator Technologies LLP
 
Your electrical safety specilist for all equipments Powered AC and DC
Your electrical safety specilist for all equipments   Powered AC and DCYour electrical safety specilist for all equipments   Powered AC and DC
Your electrical safety specilist for all equipments Powered AC and DC
Mahesh Chandra Manav
 
EMIR.pdf
EMIR.pdfEMIR.pdf
Corporate presentation for l&t solar div by jmv lps
Corporate presentation  for l&t solar div by jmv lpsCorporate presentation  for l&t solar div by jmv lps
Corporate presentation for l&t solar div by jmv lps
Mahesh Chandra Manav
 
Solar pv power plant in indian railways and JMV Products
Solar pv power plant in indian railways and JMV ProductsSolar pv power plant in indian railways and JMV Products
Solar pv power plant in indian railways and JMV Products
Mahesh Chandra Manav
 
Grid design for power transmission & distribution substation as per ieee8...
Grid design for power transmission & distribution substation as per ieee8...Grid design for power transmission & distribution substation as per ieee8...
Grid design for power transmission & distribution substation as per ieee8...
Mahesh Chandra Manav
 
A Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge MachiningA Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge Machining
IJSRD
 
A Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge MachiningA Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge Machining
IJSRD
 
Electromagnetic add-in to SolidWorks
Electromagnetic add-in to SolidWorksElectromagnetic add-in to SolidWorks
Electromagnetic add-in to SolidWorks
akhebir
 
Danke Power Report
Danke Power ReportDanke Power Report
Danke Power ReportPriten Vasa
 
Pcb design using_eagle
Pcb design using_eaglePcb design using_eagle
Pcb design using_eagle
ABDUL MUNAFF
 
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEW
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEWSTUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEW
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEW
IAEME Publication
 
Presentation Smart Hospital Projects and JMV LPS Ltd
 Presentation  Smart Hospital Projects  and JMV LPS Ltd Presentation  Smart Hospital Projects  and JMV LPS Ltd
Presentation Smart Hospital Projects and JMV LPS Ltd
Mahesh Chandra Manav
 
Jmv presentation smart hospital projects india-1
Jmv  presentation  smart hospital projects india-1Jmv  presentation  smart hospital projects india-1
Jmv presentation smart hospital projects india-1
Mahesh Chandra Manav
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
CHENCHU CHANDU PRASANTH NADELLA
 
Zen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor Core
Zen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor CoreZen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor Core
Zen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor Core
AMD
 
Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
Sri Manakula Vinayagar Engineering College
 

Similar to PowerPlanning.pdf (20)

C:\fakepath\micrologic track c
C:\fakepath\micrologic   track cC:\fakepath\micrologic   track c
C:\fakepath\micrologic track c
 
Seminar report on electric discharge machine
Seminar report on electric discharge machineSeminar report on electric discharge machine
Seminar report on electric discharge machine
 
Designing Solar PV Systems ( Utility Scale)
Designing Solar PV Systems ( Utility Scale)Designing Solar PV Systems ( Utility Scale)
Designing Solar PV Systems ( Utility Scale)
 
Your electrical safety specilist for all equipments Powered AC and DC
Your electrical safety specilist for all equipments   Powered AC and DCYour electrical safety specilist for all equipments   Powered AC and DC
Your electrical safety specilist for all equipments Powered AC and DC
 
EMIR.pdf
EMIR.pdfEMIR.pdf
EMIR.pdf
 
Corporate presentation for l&t solar div by jmv lps
Corporate presentation  for l&t solar div by jmv lpsCorporate presentation  for l&t solar div by jmv lps
Corporate presentation for l&t solar div by jmv lps
 
Solar pv power plant in indian railways and JMV Products
Solar pv power plant in indian railways and JMV ProductsSolar pv power plant in indian railways and JMV Products
Solar pv power plant in indian railways and JMV Products
 
Grid design for power transmission & distribution substation as per ieee8...
Grid design for power transmission & distribution substation as per ieee8...Grid design for power transmission & distribution substation as per ieee8...
Grid design for power transmission & distribution substation as per ieee8...
 
A Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge MachiningA Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge Machining
 
A Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge MachiningA Review on Parametric Optimization in Wire Electric Discharge Machining
A Review on Parametric Optimization in Wire Electric Discharge Machining
 
Edm new
Edm newEdm new
Edm new
 
Electromagnetic add-in to SolidWorks
Electromagnetic add-in to SolidWorksElectromagnetic add-in to SolidWorks
Electromagnetic add-in to SolidWorks
 
Danke Power Report
Danke Power ReportDanke Power Report
Danke Power Report
 
Pcb design using_eagle
Pcb design using_eaglePcb design using_eagle
Pcb design using_eagle
 
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEW
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEWSTUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEW
STUDY OF PROCESS PARAMETER OF WIRE ELECTRIC DISCHARGE MACHINING: THE REVIEW
 
Presentation Smart Hospital Projects and JMV LPS Ltd
 Presentation  Smart Hospital Projects  and JMV LPS Ltd Presentation  Smart Hospital Projects  and JMV LPS Ltd
Presentation Smart Hospital Projects and JMV LPS Ltd
 
Jmv presentation smart hospital projects india-1
Jmv  presentation  smart hospital projects india-1Jmv  presentation  smart hospital projects india-1
Jmv presentation smart hospital projects india-1
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
 
Zen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor Core
Zen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor CoreZen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor Core
Zen 2: The AMD 7nm Energy-Efficient High-Performance x86-64 Microprocessor Core
 
Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
 

More from Ahmed Abdelazeem

Memory compiler tutorial – TSMC 40nm technology
Memory compiler tutorial – TSMC 40nm technologyMemory compiler tutorial – TSMC 40nm technology
Memory compiler tutorial – TSMC 40nm technology
Ahmed Abdelazeem
 
10. Signoff.pdf
10. Signoff.pdf10. Signoff.pdf
10. Signoff.pdf
Ahmed Abdelazeem
 
9. Routing.pdf
9. Routing.pdf9. Routing.pdf
9. Routing.pdf
Ahmed Abdelazeem
 
6. Design Planning.pdf
6. Design Planning.pdf6. Design Planning.pdf
6. Design Planning.pdf
Ahmed Abdelazeem
 
What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?
Ahmed Abdelazeem
 
Learn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examplesLearn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examples
Ahmed Abdelazeem
 
ready_valid_interface.pdf
ready_valid_interface.pdfready_valid_interface.pdf
ready_valid_interface.pdf
Ahmed Abdelazeem
 
wire vs. reg.pdf
wire vs. reg.pdfwire vs. reg.pdf
wire vs. reg.pdf
Ahmed Abdelazeem
 
verilog_fsm.pdf
verilog_fsm.pdfverilog_fsm.pdf
verilog_fsm.pdf
Ahmed Abdelazeem
 
always_at_blocks.pdf
always_at_blocks.pdfalways_at_blocks.pdf
always_at_blocks.pdf
Ahmed Abdelazeem
 

More from Ahmed Abdelazeem (10)

Memory compiler tutorial – TSMC 40nm technology
Memory compiler tutorial – TSMC 40nm technologyMemory compiler tutorial – TSMC 40nm technology
Memory compiler tutorial – TSMC 40nm technology
 
10. Signoff.pdf
10. Signoff.pdf10. Signoff.pdf
10. Signoff.pdf
 
9. Routing.pdf
9. Routing.pdf9. Routing.pdf
9. Routing.pdf
 
6. Design Planning.pdf
6. Design Planning.pdf6. Design Planning.pdf
6. Design Planning.pdf
 
What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?
 
Learn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examplesLearn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examples
 
ready_valid_interface.pdf
ready_valid_interface.pdfready_valid_interface.pdf
ready_valid_interface.pdf
 
wire vs. reg.pdf
wire vs. reg.pdfwire vs. reg.pdf
wire vs. reg.pdf
 
verilog_fsm.pdf
verilog_fsm.pdfverilog_fsm.pdf
verilog_fsm.pdf
 
always_at_blocks.pdf
always_at_blocks.pdfalways_at_blocks.pdf
always_at_blocks.pdf
 

Recently uploaded

RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
thanhdowork
 
Recycled Concrete Aggregate in Construction Part III
Recycled Concrete Aggregate in Construction Part IIIRecycled Concrete Aggregate in Construction Part III
Recycled Concrete Aggregate in Construction Part III
Aditya Rajan Patra
 
Building Electrical System Design & Installation
Building Electrical System Design & InstallationBuilding Electrical System Design & Installation
Building Electrical System Design & Installation
symbo111
 
Basic Industrial Engineering terms for apparel
Basic Industrial Engineering terms for apparelBasic Industrial Engineering terms for apparel
Basic Industrial Engineering terms for apparel
top1002
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Sreedhar Chowdam
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
gerogepatton
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
Pratik Pawar
 
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTSHeap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Soumen Santra
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation & Control
 
Railway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdfRailway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdf
TeeVichai
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
FluxPrime1
 
CW RADAR, FMCW RADAR, FMCW ALTIMETER, AND THEIR PARAMETERS
CW RADAR, FMCW RADAR, FMCW ALTIMETER, AND THEIR PARAMETERSCW RADAR, FMCW RADAR, FMCW ALTIMETER, AND THEIR PARAMETERS
CW RADAR, FMCW RADAR, FMCW ALTIMETER, AND THEIR PARAMETERS
veerababupersonal22
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
Kamal Acharya
 
Forklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella PartsForklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella Parts
Intella Parts
 
English lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdfEnglish lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdf
BrazilAccount1
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
Osamah Alsalih
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
WENKENLI1
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
JoytuBarua2
 
block diagram and signal flow graph representation
block diagram and signal flow graph representationblock diagram and signal flow graph representation
block diagram and signal flow graph representation
Divya Somashekar
 
space technology lecture notes on satellite
space technology lecture notes on satellitespace technology lecture notes on satellite
space technology lecture notes on satellite
ongomchris
 

Recently uploaded (20)

RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
 
Recycled Concrete Aggregate in Construction Part III
Recycled Concrete Aggregate in Construction Part IIIRecycled Concrete Aggregate in Construction Part III
Recycled Concrete Aggregate in Construction Part III
 
Building Electrical System Design & Installation
Building Electrical System Design & InstallationBuilding Electrical System Design & Installation
Building Electrical System Design & Installation
 
Basic Industrial Engineering terms for apparel
Basic Industrial Engineering terms for apparelBasic Industrial Engineering terms for apparel
Basic Industrial Engineering terms for apparel
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
 
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTSHeap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
 
Railway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdfRailway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdf
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
 
CW RADAR, FMCW RADAR, FMCW ALTIMETER, AND THEIR PARAMETERS
CW RADAR, FMCW RADAR, FMCW ALTIMETER, AND THEIR PARAMETERSCW RADAR, FMCW RADAR, FMCW ALTIMETER, AND THEIR PARAMETERS
CW RADAR, FMCW RADAR, FMCW ALTIMETER, AND THEIR PARAMETERS
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
 
Forklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella PartsForklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella Parts
 
English lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdfEnglish lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdf
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
 
block diagram and signal flow graph representation
block diagram and signal flow graph representationblock diagram and signal flow graph representation
block diagram and signal flow graph representation
 
space technology lecture notes on satellite
space technology lecture notes on satellitespace technology lecture notes on satellite
space technology lecture notes on satellite
 

PowerPlanning.pdf

  • 1. Intro Issues Checks PowerPlanning How to Plan your own chip Ahmed Abdelazeem Faculty of Engineering Zagazig University RTL2GDSII Flow, February 2022 Ahmed Abdelazeem ASIC Physical Design
  • 2. Intro Issues Checks Table of Contents 1 Introduction 2 Power Planning Issues 3 Power Plan Checks Ahmed Abdelazeem ASIC Physical Design
  • 3. Intro Issues Checks Design Objective Power Table of Contents 1 Introduction 2 Power Planning Issues 3 Power Plan Checks Ahmed Abdelazeem ASIC Physical Design
  • 4. Intro Issues Checks Design Objective Power PowerPlanning Ahmed Abdelazeem ASIC Physical Design
  • 5. Intro Issues Checks Design Objective Power Objective of PowerPlanning To distribute the power from power pads to all elements in the chip. Unified supply of power with less voltage drop A proper Power design should aim at using as less routing recourse as possible. Power Analysis (EMIR) check should be done after power planning is completed Ahmed Abdelazeem ASIC Physical Design
  • 6. Intro Issues Checks Design Objective Power PowerPlanning Creation of the power network within a design Power planning is integrated with the overall design flow and must be taken into account early in the design process because: # of pads may determine physical size (pad limited). The power structures within the core area consume physical area. The power grid topology effects top level routability, and also placement and routing within the child blocks. The power structure effects functionality and reliability. Ahmed Abdelazeem ASIC Physical Design
  • 7. Intro Issues Checks Design Objective Power Simplified Power Distribution Architecture Ahmed Abdelazeem ASIC Physical Design
  • 8. Intro Issues Checks Design Objective Power Power Network Elements Power Pad Trunks Connects Ring to Power Pad Power Rings Form complete rings around the periphery of the die, around individual hard macros, or inside of hierarchical blocks higher-level Metal layers Power Power Stripes Carries VDD and VSS from Rings across the chip Horizontal and vertical metal wires placed in an array across the entire or section die higher level routing layers typically uniformly distributed across the die. Power Rails Is used to connect the standard cell power rails together, and or power straps. Low level, typically metal 1. Ahmed Abdelazeem ASIC Physical Design
  • 9. Intro Issues Checks Design Objective Power Power Estimations Power Estimation is based on total power consumed by the chip: IO Power Core Power (Std. Cells +Macros) Ahmed Abdelazeem ASIC Physical Design
  • 10. Intro Issues Checks Design Objective Power Power Planning Power Planning includes: proper Estimation of power of chip power routing the design based on the estimation. We create a mesh kind of structure, so that instance(s) can take direct supply from the nearest point We create multiple VDD and VSS lines(for each power domain) Hierarchical Mesh from upper metal layers to lowest(Ml or M2 layers for standard cells). Connection from higher to adjacent lower metal layer is through VIAs Ahmed Abdelazeem ASIC Physical Design
  • 11. Intro Issues Checks Design Objective Power Power Mesh Power/Ground mesh will allow multiple paths from P/G sources to destinations Hierarchical power and ground meshes from upper metal layers to lower metal layers Multiple vias between layers Ahmed Abdelazeem ASIC Physical Design
  • 12. Intro Issues Checks Design Objective Power Why create mesh kind of structure ? To distribute the Power from power pads/pins to all elements of the chip. Provides multiple paths from PG sources to destinations (less series resistance) Uniformly distribute power with less voltage drop. To meet IR/EM targets For meeting timing requirements Ahmed Abdelazeem ASIC Physical Design
  • 13. Intro Issues Checks Design Objective Power Power Planning vs. Power Routing Ahmed Abdelazeem ASIC Physical Design
  • 14. Intro Issues Checks IR Drop Ground Bounce EM Table of Contents 1 Introduction 2 Power Planning Issues 3 Power Plan Checks Ahmed Abdelazeem ASIC Physical Design
  • 15. Intro Issues Checks IR Drop Ground Bounce EM IR Drop Reduction in voltage that occurs on power supply networks IC design expects availability of ideal power supply In reality, localized voltage drops within the power grid Increasing current/area on die Narrower metal line widths (increases power grid resistance) Results in decreased power supply voltage at cells/transistors Decreases the operating voltage of the chip, resulting in timing and functional failures Ahmed Abdelazeem ASIC Physical Design
  • 16. Intro Issues Checks IR Drop Ground Bounce EM Reasons of IR Drop Violations Power structure is not proper. Cell density is very high. Instances are not get proper power because of no straps over there Mesh structure is proper but there is no via Ahmed Abdelazeem ASIC Physical Design
  • 17. Intro Issues Checks IR Drop Ground Bounce EM How to reduce IR drop ? Routing should be from Top Layer. By adding some more Power Stripes. By increasing the width of the metal. By adding Decaps(DCAP cells). By using some Low Power Techniques Ahmed Abdelazeem ASIC Physical Design
  • 18. Intro Issues Checks IR Drop Ground Bounce EM Ground Bounce Increase in voltage that occurs on ground networks (VSS or GND) in integrated circuits Increase in ground voltage decreases the operating voltage of the chip, resulting in timing and functional problems Ahmed Abdelazeem ASIC Physical Design
  • 19. Intro Issues Checks IR Drop Ground Bounce EM Electromigration Electromigration is the movement of atoms based on the flow of current through a material. If the current density is high enough, the heat dissipated within the material will repeatedly break atoms from the structure and move them. Results of EM in ICs: The VOIDs and HILLOCKS gets created and potentially causing open and short circuits. Ahmed Abdelazeem ASIC Physical Design
  • 20. Intro Issues Checks IR Drop Ground Bounce EM EM violations : Reasons of EM violation High Fanout Net (multiple fanout cells switch simultaneously, draws larger current from driver) Higher Driver Strength Cells(delivers large current unnecessarily, heating up the wire) Higher frequency(quick transitions) Narrow metal width Metal slotting (resulting into narrower widths) Long Nets (because of larger resistance, higher localized temperature) Ahmed Abdelazeem ASIC Physical Design
  • 21. Intro Issues Checks IR Drop Ground Bounce EM Solutions of EM violations Decrease Driver’s drive Strength. NonDefault (wider) rule based routing. Insert buffer on long nets. Route with higher metal layers(lessresistive, higher tolerance (current carrying capabilities) Use multi-Cut Via Break the fanout (have lesser fanouts) Use wider metals (more width) Ahmed Abdelazeem ASIC Physical Design
  • 22. Intro Issues Checks Checks Table of Contents 1 Introduction 2 Power Planning Issues 3 Power Plan Checks Ahmed Abdelazeem ASIC Physical Design
  • 23. Intro Issues Checks Checks Power Plan Checks There should be no open connection All the Macros should be hooked up with Power/Ground. IR/EM target should be met. Missing Vias should be taken care There should be no Hot Spots (during IR-Drop Analysis) Ahmed Abdelazeem ASIC Physical Design
  • 24. Intro Issues Checks Checks .... ÕækQË@ áÔgQË@ éÊË@ Õæ„. C JÊ ¯ B @ Õ Îª Ë@ áÓ Õ æJKð@ AÓð Ahmed Abdelazeem ASIC Physical Design