SlideShare a Scribd company logo
2-BIT ALU
Wellcome to our presentation.
Slide 1
Group Members
 MAHMUDULHASAN
 FARHANTANVIR
 RISULislam
Slide 2
 What is 2 bit Alu?
 Methodology
 Block Diagram of 2 bit ALU...
 Introduce Various Kinds of IC
 Circuit Diagram
 How to construct
 Verilog Code part
Slide 3
OVERVIEW
2-BIT ALU
 An arithmetic logic unit is a multi-operation, combinational logic
function. It can perform a set of basic arithmetic operations and
set of logic operations. The Alu has a number of selection line to
select a particular operation in the unit. The four data inputs from
A are combined with the four inputs from B to generate an
operation at the F. The mode select input M distinguish between
arithmetic and logic operation. The three function select inputs
A,B,C specify particular arithmetic or logic operation to be
granted
Slide 4
Methodology
 An arithmetic logic unite system has been development by sequence of operation.
To active a successful ALU design we use following methodologies:
 Studying literature on different types of bit and their implementation.
 Studying the existing method for ALU arithmetic and logic operation.
 Analyze and design for the proposed System.
 Implement of a desiring design of Arithmatic Logic Unit.
Slide 5
Block Diagram of 2 Bit Alu
Slide 6
 Two Construct 2-bit ALU
 Efficiently use
 Minimize Circuit and Gate
Slide 7
NEED IC FOR CONSTRUCTION
AND GATE(7408)
OR GATE(7432)
EXOR GATE(7432)
NOT GATE(7408)
8-TO-1 MULTIPLEXER(74151)
ADDER(7483)
Slide 8
REAL VIEW OF IC OR PORT NUMBER
Slide 9
NOT GATE
Slide 10
8-TO-1 MULTIPLEXER(74151)
Slide 11
Logic gate:Adder/substructor:
 Dfsd
 Adder/substructor:
 Full adder truth table. If
mode bit change it work
as a substructor
Slide 12
And|NAND
 And gate and truth table  Nand gate and truth table
Slide 13
OR|NOR
 OR gate and truth Table  Nor gate and truth table
Slide 14
XOR|XNOR
 Xor gate and truth table  Xnor gate and truth
table
Slide 15
Circuit Diagram
Slide 16
TruthTable of the following Circuit
Slide 17
How the Project Work
 In 8*1 multiplexer have 8 input and 1 output. When we select 000 then it select
I(0),when we select 001 then it select I(1).It frequently goes to I(7).when one gate
will select other gate cant work. so we can get 8 operation by frequently change
A,B,C value.
Slide 18
Hardware Implimentation
Slide 19
Advantage
 2 bit ALU has minimum delay time to implimentation
 Minimize the logic Gate
 Less expensive due to use minimum gate
Slide 20
Disadvantage
 Complex circuit Diagram
 Output only 0-15
 They have no memory.we will look at adding some next time
Slide 21
Conclusion
 In this project we design and impliment 2-bit ALU.for simulation we impliment
this project on breadboard found satisfactory result different type of
simulation like and or xor addition and substruction operation.
Slide 22
Future Implimentation
 We impliment 2-bit ALU, but we want to work on 8 bit ALU, later on we
will try to work on 32 bit and 64 bit ALU as much we can
 Our purpose is to reduce delay time
 To make circuit complex free and less expensive.
 Reduce logic gate as much as possible.
Slide 23
Verilog code
 module ALU(input [3:0]ALUOP,input [1:0]A,B,
 output reg [1:0]R,output reg C);
 always@(*)begin
 case(ALUOP)
 4'b0000: {C,R}=(A+B);
 4'b0001: {C,R}=(A-B);
 4'b0010: R=(A&B);
 4'b0011: R=~(A&B);
 4'b0100: R=(A|B);
 4'b0101: R=~(A|B);
 4'b0110: R=(A^B);
 4'b0111: R=~(A^B);
 4'b1000,4'b1001:R=~(A);
 default: R=4'bxxxx;
 endcase
 end
 endmodule
Slide 24
Verilog code screen shot
Slide 26
Verilog code screen shot
Slide 26
Verilog code screen shot
Slide 27
Inquiry
2014-2-60-035@ewu.edu.bd
farhatanvir65@gmail.com
Slide 28
Referrance
 https://commons.wikimedia.org/wiki/File:2
-bit_ALU.png
 https://www.mathworks.com/matlabcentra
l/fileexchange/36074-2-bit-
alu?requestedDomain=www.mathworks.c
om
Slide 29

More Related Content

What's hot

Verilog Tutorial - Verilog HDL Tutorial with Examples
Verilog Tutorial - Verilog HDL Tutorial with ExamplesVerilog Tutorial - Verilog HDL Tutorial with Examples
Verilog Tutorial - Verilog HDL Tutorial with Examples
E2MATRIX
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.ppt
Dr.YNM
 
Carry look ahead adder
Carry look ahead adderCarry look ahead adder
Carry look ahead adder
dragonpradeep
 
Design and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilogDesign and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilog
STEPHEN MOIRANGTHEM
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
Maryala Srinivas
 
SHIFT REGISTERS
SHIFT REGISTERSSHIFT REGISTERS
SHIFT REGISTERS
kumari36
 
Verilog presentation final
Verilog presentation finalVerilog presentation final
Verilog presentation final
Ankur Gupta
 
Verilog full adder in dataflow & gate level modelling style.
Verilog full adder in dataflow  & gate level modelling style.Verilog full adder in dataflow  & gate level modelling style.
Verilog full adder in dataflow & gate level modelling style.
Omkar Rane
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applications
Sudhanshu Janwadkar
 
Lecture 2 verilog
Lecture 2   verilogLecture 2   verilog
Lecture 2 verilogvenravi10
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
Abhiraj Bohra
 
Embedded c
Embedded cEmbedded c
Embedded c
Ami Prakash
 
Counters
CountersCounters
Counters
Ketaki_Pattani
 
Presentation on Flip Flop
Presentation  on Flip FlopPresentation  on Flip Flop
Presentation on Flip Flop
Nahian Ahmed
 
Combinational circuits
Combinational circuitsCombinational circuits
Combinational circuits
SARITHA REDDY
 
decoder and encoder
 decoder and encoder decoder and encoder
decoder and encoder
Unsa Shakir
 
ALU arithmetic logic unit
ALU  arithmetic logic unitALU  arithmetic logic unit
ALU arithmetic logic unit
Karthik Prof.
 
Sequential circuits
Sequential circuitsSequential circuits
Sequential circuits
Paresh Parmar
 

What's hot (20)

Verilog Tutorial - Verilog HDL Tutorial with Examples
Verilog Tutorial - Verilog HDL Tutorial with ExamplesVerilog Tutorial - Verilog HDL Tutorial with Examples
Verilog Tutorial - Verilog HDL Tutorial with Examples
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.ppt
 
Carry look ahead adder
Carry look ahead adderCarry look ahead adder
Carry look ahead adder
 
Design and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilogDesign and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilog
 
8 Bit ALU
8 Bit ALU8 Bit ALU
8 Bit ALU
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
SHIFT REGISTERS
SHIFT REGISTERSSHIFT REGISTERS
SHIFT REGISTERS
 
Verilog presentation final
Verilog presentation finalVerilog presentation final
Verilog presentation final
 
Verilog full adder in dataflow & gate level modelling style.
Verilog full adder in dataflow  & gate level modelling style.Verilog full adder in dataflow  & gate level modelling style.
Verilog full adder in dataflow & gate level modelling style.
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applications
 
Lecture 2 verilog
Lecture 2   verilogLecture 2   verilog
Lecture 2 verilog
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
Embedded c
Embedded cEmbedded c
Embedded c
 
Counters
CountersCounters
Counters
 
Presentation on Flip Flop
Presentation  on Flip FlopPresentation  on Flip Flop
Presentation on Flip Flop
 
Combinational circuits
Combinational circuitsCombinational circuits
Combinational circuits
 
decoder and encoder
 decoder and encoder decoder and encoder
decoder and encoder
 
ALU arithmetic logic unit
ALU  arithmetic logic unitALU  arithmetic logic unit
ALU arithmetic logic unit
 
Verilog hdl
Verilog hdlVerilog hdl
Verilog hdl
 
Sequential circuits
Sequential circuitsSequential circuits
Sequential circuits
 

Similar to 2 bit alu

VHDL Implementation Of 64-bit ALU
VHDL Implementation Of 64-bit ALUVHDL Implementation Of 64-bit ALU
VHDL Implementation Of 64-bit ALU
IOSR Journals
 
Design and Implementation of 16-bit Arithmetic Logic Unit using Quantum dot C...
Design and Implementation of 16-bit Arithmetic Logic Unit using Quantum dot C...Design and Implementation of 16-bit Arithmetic Logic Unit using Quantum dot C...
Design and Implementation of 16-bit Arithmetic Logic Unit using Quantum dot C...
IJERA Editor
 
Logic gate tester for IC's ( Digital Electronics and Logic deisgn EE3114 )
Logic gate tester for IC's ( Digital Electronics and Logic deisgn EE3114 )Logic gate tester for IC's ( Digital Electronics and Logic deisgn EE3114 )
Logic gate tester for IC's ( Digital Electronics and Logic deisgn EE3114 )
Jikrul Sayeed
 
Cadancesimulation
CadancesimulationCadancesimulation
Cadancesimulation
Gautham Reddy
 
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unit
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic UnitDesign and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unit
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unit
rahulmonikasharma
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Editor IJARCET
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Editor IJARCET
 
IRJET- Arithmetic Logic Unit Design with Comparison Power Consumption on Diff...
IRJET- Arithmetic Logic Unit Design with Comparison Power Consumption on Diff...IRJET- Arithmetic Logic Unit Design with Comparison Power Consumption on Diff...
IRJET- Arithmetic Logic Unit Design with Comparison Power Consumption on Diff...
IRJET Journal
 
Microprocessorlabmanual ee0310
Microprocessorlabmanual ee0310Microprocessorlabmanual ee0310
Microprocessorlabmanual ee0310
Hari Prakash
 
Micro Processor Lab Manual!
Micro Processor Lab Manual!Micro Processor Lab Manual!
Micro Processor Lab Manual!
PRABHAHARAN429
 
Industrial Applications of Arduino using Ladder Logic
Industrial Applications of Arduino using Ladder LogicIndustrial Applications of Arduino using Ladder Logic
Industrial Applications of Arduino using Ladder Logic
Robocraze
 
Assignment#2
Assignment#2Assignment#2
Assignment#2
Sunita Milind Dol
 
8 bit Multiplier Accumulator
8 bit Multiplier Accumulator8 bit Multiplier Accumulator
8 bit Multiplier Accumulator
Daksh Raj Chopra
 
FPGA Implementation with Digital Devices
FPGA Implementation with Digital Devices FPGA Implementation with Digital Devices
FPGA Implementation with Digital Devices
Sachin Mehta
 
Question Bank Programmable Logic Controller
Question Bank Programmable Logic ControllerQuestion Bank Programmable Logic Controller
Question Bank Programmable Logic Controller
Nilesh Bhaskarrao Bahadure
 
Cn33543547
Cn33543547Cn33543547
Cn33543547
IJERA Editor
 

Similar to 2 bit alu (20)

Bds lab 4
Bds lab 4Bds lab 4
Bds lab 4
 
Group p
Group pGroup p
Group p
 
VHDL Implementation Of 64-bit ALU
VHDL Implementation Of 64-bit ALUVHDL Implementation Of 64-bit ALU
VHDL Implementation Of 64-bit ALU
 
Design and Implementation of 16-bit Arithmetic Logic Unit using Quantum dot C...
Design and Implementation of 16-bit Arithmetic Logic Unit using Quantum dot C...Design and Implementation of 16-bit Arithmetic Logic Unit using Quantum dot C...
Design and Implementation of 16-bit Arithmetic Logic Unit using Quantum dot C...
 
Logic gate tester for IC's ( Digital Electronics and Logic deisgn EE3114 )
Logic gate tester for IC's ( Digital Electronics and Logic deisgn EE3114 )Logic gate tester for IC's ( Digital Electronics and Logic deisgn EE3114 )
Logic gate tester for IC's ( Digital Electronics and Logic deisgn EE3114 )
 
Cadancesimulation
CadancesimulationCadancesimulation
Cadancesimulation
 
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unit
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic UnitDesign and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unit
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unit
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142
 
Bv4301417422
Bv4301417422Bv4301417422
Bv4301417422
 
IRJET- Arithmetic Logic Unit Design with Comparison Power Consumption on Diff...
IRJET- Arithmetic Logic Unit Design with Comparison Power Consumption on Diff...IRJET- Arithmetic Logic Unit Design with Comparison Power Consumption on Diff...
IRJET- Arithmetic Logic Unit Design with Comparison Power Consumption on Diff...
 
Project-Synopsis
Project-SynopsisProject-Synopsis
Project-Synopsis
 
Microprocessorlabmanual ee0310
Microprocessorlabmanual ee0310Microprocessorlabmanual ee0310
Microprocessorlabmanual ee0310
 
Micro Processor Lab Manual!
Micro Processor Lab Manual!Micro Processor Lab Manual!
Micro Processor Lab Manual!
 
Industrial Applications of Arduino using Ladder Logic
Industrial Applications of Arduino using Ladder LogicIndustrial Applications of Arduino using Ladder Logic
Industrial Applications of Arduino using Ladder Logic
 
Assignment#2
Assignment#2Assignment#2
Assignment#2
 
8 bit Multiplier Accumulator
8 bit Multiplier Accumulator8 bit Multiplier Accumulator
8 bit Multiplier Accumulator
 
FPGA Implementation with Digital Devices
FPGA Implementation with Digital Devices FPGA Implementation with Digital Devices
FPGA Implementation with Digital Devices
 
Question Bank Programmable Logic Controller
Question Bank Programmable Logic ControllerQuestion Bank Programmable Logic Controller
Question Bank Programmable Logic Controller
 
Cn33543547
Cn33543547Cn33543547
Cn33543547
 

More from Mahmudul Hasan

C Programming Loop
C Programming LoopC Programming Loop
C Programming Loop
Mahmudul Hasan
 
E commerce Website
E commerce WebsiteE commerce Website
E commerce Website
Mahmudul Hasan
 
5 g architecture and application
5 g architecture and application5 g architecture and application
5 g architecture and application
Mahmudul Hasan
 
Car parking system
Car parking systemCar parking system
Car parking system
Mahmudul Hasan
 
Software company
Software companySoftware company
Software company
Mahmudul Hasan
 
Avl tree
Avl treeAvl tree
Avl tree
Mahmudul Hasan
 
PLOTTING UNITE STEP AND RAMP FUNCTION IN MATLAB
PLOTTING UNITE STEP AND RAMP  FUNCTION  IN  MATLAB PLOTTING UNITE STEP AND RAMP  FUNCTION  IN  MATLAB
PLOTTING UNITE STEP AND RAMP FUNCTION IN MATLAB
Mahmudul Hasan
 
Design pipeline architecture for various stage pipelines
Design pipeline architecture for various stage pipelinesDesign pipeline architecture for various stage pipelines
Design pipeline architecture for various stage pipelines
Mahmudul Hasan
 
Elimination of left recursion
Elimination of left recursionElimination of left recursion
Elimination of left recursion
Mahmudul Hasan
 
গুড়পুকুরের মেলা
গুড়পুকুরের মেলাগুড়পুকুরের মেলা
গুড়পুকুরের মেলা
Mahmudul Hasan
 
Emergency system AI presentation Using Prolog
Emergency system AI presentation Using PrologEmergency system AI presentation Using Prolog
Emergency system AI presentation Using Prolog
Mahmudul Hasan
 
Bank management system
Bank management systemBank management system
Bank management system
Mahmudul Hasan
 
Hospital management system DBMS PROJECT USING APEX 5.04
Hospital management system DBMS PROJECT USING APEX 5.04Hospital management system DBMS PROJECT USING APEX 5.04
Hospital management system DBMS PROJECT USING APEX 5.04
Mahmudul Hasan
 
Digital search tree
Digital search treeDigital search tree
Digital search tree
Mahmudul Hasan
 

More from Mahmudul Hasan (14)

C Programming Loop
C Programming LoopC Programming Loop
C Programming Loop
 
E commerce Website
E commerce WebsiteE commerce Website
E commerce Website
 
5 g architecture and application
5 g architecture and application5 g architecture and application
5 g architecture and application
 
Car parking system
Car parking systemCar parking system
Car parking system
 
Software company
Software companySoftware company
Software company
 
Avl tree
Avl treeAvl tree
Avl tree
 
PLOTTING UNITE STEP AND RAMP FUNCTION IN MATLAB
PLOTTING UNITE STEP AND RAMP  FUNCTION  IN  MATLAB PLOTTING UNITE STEP AND RAMP  FUNCTION  IN  MATLAB
PLOTTING UNITE STEP AND RAMP FUNCTION IN MATLAB
 
Design pipeline architecture for various stage pipelines
Design pipeline architecture for various stage pipelinesDesign pipeline architecture for various stage pipelines
Design pipeline architecture for various stage pipelines
 
Elimination of left recursion
Elimination of left recursionElimination of left recursion
Elimination of left recursion
 
গুড়পুকুরের মেলা
গুড়পুকুরের মেলাগুড়পুকুরের মেলা
গুড়পুকুরের মেলা
 
Emergency system AI presentation Using Prolog
Emergency system AI presentation Using PrologEmergency system AI presentation Using Prolog
Emergency system AI presentation Using Prolog
 
Bank management system
Bank management systemBank management system
Bank management system
 
Hospital management system DBMS PROJECT USING APEX 5.04
Hospital management system DBMS PROJECT USING APEX 5.04Hospital management system DBMS PROJECT USING APEX 5.04
Hospital management system DBMS PROJECT USING APEX 5.04
 
Digital search tree
Digital search treeDigital search tree
Digital search tree
 

Recently uploaded

Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
Massimo Talia
 
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressionsKuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
Victor Morales
 
一比一原版(Otago毕业证)奥塔哥大学毕业证成绩单如何办理
一比一原版(Otago毕业证)奥塔哥大学毕业证成绩单如何办理一比一原版(Otago毕业证)奥塔哥大学毕业证成绩单如何办理
一比一原版(Otago毕业证)奥塔哥大学毕业证成绩单如何办理
dxobcob
 
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptxTOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
nikitacareer3
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
JoytuBarua2
 
Literature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptxLiterature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptx
Dr Ramhari Poudyal
 
14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application
SyedAbiiAzazi1
 
Self-Control of Emotions by Slidesgo.pptx
Self-Control of Emotions by Slidesgo.pptxSelf-Control of Emotions by Slidesgo.pptx
Self-Control of Emotions by Slidesgo.pptx
iemerc2024
 
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTSHeap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Soumen Santra
 
PROJECT FORMAT FOR EVS AMITY UNIVERSITY GWALIOR.ppt
PROJECT FORMAT FOR EVS AMITY UNIVERSITY GWALIOR.pptPROJECT FORMAT FOR EVS AMITY UNIVERSITY GWALIOR.ppt
PROJECT FORMAT FOR EVS AMITY UNIVERSITY GWALIOR.ppt
bhadouriyakaku
 
Hierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power SystemHierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power System
Kerry Sado
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
bakpo1
 
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
zwunae
 
AIR POLLUTION lecture EnE203 updated.pdf
AIR POLLUTION lecture EnE203 updated.pdfAIR POLLUTION lecture EnE203 updated.pdf
AIR POLLUTION lecture EnE203 updated.pdf
RicletoEspinosa1
 
Unbalanced Three Phase Systems and circuits.pptx
Unbalanced Three Phase Systems and circuits.pptxUnbalanced Three Phase Systems and circuits.pptx
Unbalanced Three Phase Systems and circuits.pptx
ChristineTorrepenida1
 
Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
manasideore6
 
Swimming pool mechanical components design.pptx
Swimming pool  mechanical components design.pptxSwimming pool  mechanical components design.pptx
Swimming pool mechanical components design.pptx
yokeleetan1
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
WENKENLI1
 
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming PipelinesHarnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Christina Lin
 
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
awadeshbabu
 

Recently uploaded (20)

Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
 
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressionsKuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
 
一比一原版(Otago毕业证)奥塔哥大学毕业证成绩单如何办理
一比一原版(Otago毕业证)奥塔哥大学毕业证成绩单如何办理一比一原版(Otago毕业证)奥塔哥大学毕业证成绩单如何办理
一比一原版(Otago毕业证)奥塔哥大学毕业证成绩单如何办理
 
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptxTOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
 
Literature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptxLiterature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptx
 
14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application
 
Self-Control of Emotions by Slidesgo.pptx
Self-Control of Emotions by Slidesgo.pptxSelf-Control of Emotions by Slidesgo.pptx
Self-Control of Emotions by Slidesgo.pptx
 
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTSHeap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
 
PROJECT FORMAT FOR EVS AMITY UNIVERSITY GWALIOR.ppt
PROJECT FORMAT FOR EVS AMITY UNIVERSITY GWALIOR.pptPROJECT FORMAT FOR EVS AMITY UNIVERSITY GWALIOR.ppt
PROJECT FORMAT FOR EVS AMITY UNIVERSITY GWALIOR.ppt
 
Hierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power SystemHierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power System
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
 
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
 
AIR POLLUTION lecture EnE203 updated.pdf
AIR POLLUTION lecture EnE203 updated.pdfAIR POLLUTION lecture EnE203 updated.pdf
AIR POLLUTION lecture EnE203 updated.pdf
 
Unbalanced Three Phase Systems and circuits.pptx
Unbalanced Three Phase Systems and circuits.pptxUnbalanced Three Phase Systems and circuits.pptx
Unbalanced Three Phase Systems and circuits.pptx
 
Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
 
Swimming pool mechanical components design.pptx
Swimming pool  mechanical components design.pptxSwimming pool  mechanical components design.pptx
Swimming pool mechanical components design.pptx
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
 
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming PipelinesHarnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
 
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
 

2 bit alu