SlideShare a Scribd company logo
1 of 5
Download to read offline
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 12 | Dec 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2813
DESIGN OF VIRTUAL CHANNEL LESS FIVE PORT NETWORK
S. Sivasubramaniam1, Dr. K. Pushpalatha2
1Assistant Professor, Department of Information Technology, Coimbatore Institute of Engineering and Technology,
Tamilnadu, India
2Professor & Head, Department of Information Technology, Coimbatore Institute of Engineering and Technology,
Tamilnadu, India
---------------------------------------------------------------------***----------------------------------------------------------------------
Abstract - Networks-on-Chip (NoCs), have emerged as a
new design paradigm to overcome the limitation of current
bus-based communication infrastructure, and are
increasingly important in today’s System-on-Chip (SoC)
designs. This architecture offers a general and fixed
communication platform which can be reused for a large
number of SoC designs. As an integration platform, the NoC
should have the capability to provide different level of
services for various applications on the samenetwork [1]. In
order to implement a competitive NOC architecture, the
router should be efficiently design as it is the central
component of NOC architecture. For effectiveglobal on-chip
communication, on-chip routers provide essential routing
functionality with low complexity and relatively high
performance. In this paper a five port virtual channel less
router was designed using Verilog language which can
support five requests simultaneously. It can be obtained by
using two different in arbitration single router architecture.
Thus the speed of communication can be increased after
reducing communication bottleneck by using simplest
routing mechanism, flow mechanism and decoding logic.
Keywords: Network on Chip (NOC) Router, Round-Robin
Arbiter (RRA), First In First Out (FIFO),Virtual Channel (VC).
I. INTRODUCTION
The advantages of technology scaling have been
diminishing since even though CMOS gates in single-
processor systems are getting smaller, higher clock
frequencies and larger wire resistances have dramatically
increased their power dissipation. Therefore, the computer
hardware industry has shifted its focus to parallelism, using
multi-core processors. Technology scaling has made it
possible to integrate a large number of processor cores
onto a single chip. In addition, chip bandwidth has been
continuously increasing over the past two decades.
Network on Chip (NoC) is a new paradigm for
System on Chip (SoC) design. Increasing integration
producesa situation where busstructure,whichiscommonly
used in SoC, becomes blocked and increased capacitance
poses physical problems [1]. In NoC architecture traditional
bus structure is replaced with a network which is a lot
similar to the Internet. Data communications between
segments of chip are packetized and transferredthrough the
network.
The network consists of wires and routers.
Processors, memories and other IP-blocks (Intellectual
Property) are connected to routers. The Network on Chip
approach has a clear advantage over a traditional bus-based
interconnect because ofitslayeredandscalablearchitecture.
There are a couple of requirements that every
Network on Chip implementation has to meet. Performance
requirementsaresmall latency,guaranteedthrough-put,path
diversity, sufficient transfer capacity and low power
consumption [2]. Architectural requirements are scalability,
generalityand programmability. Fig.1.showsthatsimple3x3
Network on Chip design
Fig.1. Network on Chip.
The basic elements which form a NoC-based
interconnect are network interfaces (NIs), routers, and links.
As technology shrinks, the powerdissipatedbythelinksisas
relevant as (or more relevant than) that dissipated by
routers and Nis. The focus of this paper is on router, where
on-chip router is the fundamental componentofNetwork on
Chip. For effective global on-chip communication, Network
on Chip routers must provide essential routing functionality
with low complexity and relatively high performance. The
design goal of router is to provide the essential network
functionalities while balancingperformanceand complexity.
Efficient design of router meets many necessity parameters
and also some of them proposed effective utilization of
buffer [3]. But implementation of parallel operation with
more simultaneous requests is not addressed. Hence
proposed work concentrate on router with parallel one. In
this paper a five port virtual channel less router was
designed using Verilog language which can support five
requests simultaneously. It can be obtained by using two
different in arbitration single router architecture. Thus the
speed of communication can be increased after reducing
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 12 | Dec 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2814
communication bottleneck by using simplest routing
mechanism, flow mechanism and decoding logic.
II. ROTER MICROARCHITECTURE
In this section we will discuss the details of the
proposed router microarchitecture used for NOC. I have
omitted the use of Virtual Channels (VCs) instead of VCs
would just add another layer of arbitration attheinputports
of the router [5].
In this block diagram,theletterpcorrespondstothe
number of ports (in this case p = 5), and the letter wrefers to
the port width which is also the flit size.
The first stage of the router consists of an input buffer to
store the incoming flits that make up the messages. The
header bits of each flit are supplied to the State module
which determines the type of the flit (head flit, body flit, or
tail flit), and the output destination of the message. Once the
output port has been determined using a lookup table, a
request signal (req) is sent to the Round Robin Arbiter.After
arbitration, the arbiter sends a grant signal to the priority
encoder, which
Fig. 2. Proposed Router microarchitecture
connects the corresponding input and output ports of the
crossbar so that the flit can traverse through the crossbar
and reach its destination. At the same time, the arbiter also
sends a credit signal to the corresponding input port to
release one flit in the buffer so that a new flit can take its
place.
The letter p corresponds to the numberofportsand
w represents the port width. In this diagram p = 5. We need
2-bits to represent the incoming flit identifier:head, body, or
tail.
Therefore, for a flit size of w, the first8-bitsareused
to indicate the flit type and the address
Fig.3. Flit partition
of the destination core (represented as in[7:0] in Fig.2). The
partition of the flit is shown in the diagram of Fig.3.
In Table 1, we have shown the different flit
identifiers we have used to code the flit types.
2-bits are used for the flit identifier and 6-bits for the
destination address of the flit. We will now discuss the
implementation of the various router components in Figure
5.1 in more detail.
In Table 1, we have shown the different flit
identifiers we have used to code the flit types. We will now
discuss the implementation of the various router
components in Fig.2. in more detail.
Table 1 Flit Identifier types and codes
Flit Type Flit
Identifier
Head 11
Body 10
Tail 01
Not-Used 00
A. State Module
The State module has 4 main functions. First, it
evaluates the flit identifier to find out whether the incoming
flit is a head, body, or tail flit. Next, it uses the 6-bit
destination address in the flit to determine which router
output port the flit/message shouldbesenttousinga lookup
table. The output port addressforeachflit/messageisstored
in the State module. Then the State module sends a request
(req) signal to the arbiter to allow the flits to traverse the
crossbar. And finally, the State module reserves the output
port so that other input ports cannot use the same output
port until it is released.
B. Round Robin Arbiter
We chose a simple Round Robin arbiter for our
router [5]. A Round Robin arbiter was chosen since it is
simple to implement and because it exhibits strong fairness.
The Round Robin arbiter operates by assigning a low
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 12 | Dec 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2815
priority to a request that has just been granted access to the
crossbar. All other pending requests will be serviced before
the priority rotates around in a circular fashion [5]. Once a
request is granted access to the crossbar, the arbiter sendsa
grant signal to the encoder which is described next
C. Buffering
Buffering is required in most on-chip routers to
provide temporary storage of packets that are in transit.
Buffering is implemented mostly with FIFO memory. Buffer
size and the buffering scheme are the two main
considerations. In FIFO there are two chip select they are
write chip select (wr_cs) read chip select (rd_cs) which is
used to select the appropriate chip for read and write
operation. Empty and full are the two variables stores
number of read and write operation on the FIFO buffers.
These variables are used to know whether the FIFOisempty
or full. When enable signal read (rd_en) is high, control logic
first check fifo empty signal. If it is high operation is
terminated and if it is low packet is read from the memory
and cr is incremented by one. When write enable signal
(wr_en) is high, control logic first check fifo full signal. If fifo
full is high, it means memory is full and no more packets are
added in it and operation is terminated. But if it is low,
packet is write into the Memory [9].
Fig.4. A 5x5 Multiplexer Crossbar.
D. Multiplexer Crossbar
Crossbars can come in different flavors, and
another type of crossbar we will be looking into is the
multiplexer crossbar (or centralized multiplexer) shown in
Fig.4.
The multiplexer crossbar operates in a manner
completely analogous to the matrix crossbar, in the sense
that it uses the same select line (sel[p-1:0]) vectors from
the Encoder and connects the corresponding input to the
appropriate output line as determined by the State module.
In this case a multiplexer is used for switching.Each
input port connects to a multiplexer at the output ports, and
the select line is used to choose the appropriate input port
for each output. The input and output registers shown in
Fig.4. are the same registers that were shown in
the router microarchitecture diagram of Fig.2.
E. Encoder
The Encoder accepts the grant signal from the
Round Robin arbiter, and using the Output Port Address
(S[0:2] in Fig.4.) stored in the State module, the Encoder
generates a p-bit select line signal for each output port,
where p is the number of input ports. The select signal is
issued such that only one of the p-bits is high while the
remaining bits are low. This ensures that at any point in
time, only one input port can drive an output port at the
crossbar.
III. SIMULATIONS & RESULTS
A. Round Robin:
Packets with the same priority and destined for the
same output port are scheduled with a round-robin arbiter.
Round Arbiter can be designed inVerilog&RTLschematicof
Round Robin shown in Fig.5.
Fig.5. RTL schematic of Round Robin
Table 2. Round Robin Function
S.No Req
[4:0]
Grant
[4:0]
Credit
[4:0]1. 00001 00001 11110
2. 00010 00010 11101
3. 00011 00001,
00010
11110,
111014. 01100 00100
01000
11011
101115. 10000 10000 01111
Based on request signal, grant signal will be
generate. In the below Fig.6. input request 00011 is given
and grant signal obtained is 00001, 00010, 00000. In this
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 12 | Dec 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2816
there are ones in request signal based on that, design
generated two grant signals
Fig.6. Output Waveform for Round Robin
B. Encoder:
In proposed design architecture encoder is used to
generate selection lines for cross bar. It generates four
selection lines based on the grant input and state input.
Encoder can be designed in Verilog & RTL schematic of
Encoder shown in Fig.7.
Fig.7. RTL schematic of Encoder
Fig.8. Output Waveform for Encoder
C. MUX_5X5 _XBAR:
In proposed design architecture crossbar is used to
connect input to output, it can be connected based on the
four selection lines.
Fig.9. RTL schematic of Crossbar
Fig.10. Output Waveform for Crossbar
IV. CONCLUSION
In the current silicon era, NoC is not powerandarea
efficient although it has higher throughput. Enhancement in
the utilization of idle resourcesinsteadofinsertingnewones
can make the NoC an ideal solution for current applications.
One such problem is the design of the router micro
architecture to cope with ever increasing bandwidth
requirements, while minimizing the area overhead and
power consumption. The proposed NOC virtual channel less
micro router architecture are more area and power efficient
compared to virtual channel routers. Proposed NOC virtual
channel less micro router architecture are designed using
Verilog hardware description language, synthesis with the
help of Xilinx ISE 14.1 software and design can be simulated
using Isim simulator.
REFERNCES
[1] Yuho Jin, Member, Eun Jung Kim and Timothy Mark
Pinkston, Yuho Jin: Communication-Aware Globally-
Coordinated On-Chip Networks IEEE Transactions On
Parallel and Distributed Systems,vol.23,no.2,February
2018
[2] Radu Marculescu, Umit Y.Ogras,Li-ShiuanPeh,Natalie
Enright Jerger, and Yatin Hoskote OutstandingResearch
Problems in NoC Design: System,Microarchitecture,and
Circuit Perspectives, IEEE Transactions On Computer-
Aided Design of Integrated Circuits and Systems,vol.28,
no. 1, January 2016
[3] Faizal Arya Samman, Thomas Hollstein and Manfred
Glesner,: Adaptive and Deadlock-Free Tree-Based
Multicast routing for Networks-on-Chip, IEEE
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 12 | Dec 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2817
Transactions On Very Large Scale Integration (VLSI)
systems, vol. 18, no. 7, July 2015
[4] T.A. Bartic, J.-Y. Mignolet, V. Nollet, T. Marescaux, D.
Verkest, S. Vernalde, R. Lauwereins: Topology adaptive
network-on-chip design and implementation. IEE
Proceedings – Computers and Digital Techniques, 8 July
2005, Volume 152, Issue 4, pages: 467–472.
[5] W.J. Dally, B. Towles: Principles and Practices of
Interconnection Net-works. Morgan Kaufmann, 2014.
[6] W.J. Dally, B. Towles: Route Packets, Not Wires: On-
Chip Interconnection Networks. Proceedings, Design
Automation Conference 2001, pages: 684– 689.
[7] G. De Micheli, L. Benini: Networks on Chips. Morgan
Kaufmann, 2006.
[8] M. Dehyadgari, M. Nickray, A. Afzali-kusha, Z. Navabi:
Evaluation of Pseudo Adaptive XYRouting Using an
Object Oriented Model for NOC. The 17th International
Conference on Microelectronics,13–15December2005.
[9] J. Dielissen, A. Radulescu, K. Goossens, E. Rijpkema:
ConceptsandImplementationofthePhilipsNetwork-on-
Chip. IP-Based SOC Design, Grenoble, France, Nov 2003.
[10] U. Feige, P. Raghavan: Exact Analysis of Hot-Potato
Routing. Foundations of Computer Science, 24–27
October 1992, pages: 553–562.

More Related Content

What's hot

Channel coding a walkthrough
Channel coding a walkthroughChannel coding a walkthrough
Channel coding a walkthroughMapYourTech
 
ABIS-interface
ABIS-interfaceABIS-interface
ABIS-interfaceKaTaNHou1
 
Topic 6 Digital Technique Data buses
Topic 6 Digital Technique Data busesTopic 6 Digital Technique Data buses
Topic 6 Digital Technique Data busesBai Haqi
 
SYNHRONOUS TRANSMISSION OFC
SYNHRONOUS TRANSMISSION OFCSYNHRONOUS TRANSMISSION OFC
SYNHRONOUS TRANSMISSION OFCimbarun
 
Chapter3
Chapter3Chapter3
Chapter3wael-b1
 
OPEN SHORTEST PATH FIRST (OSPF)
OPEN SHORTEST PATH FIRST (OSPF)OPEN SHORTEST PATH FIRST (OSPF)
OPEN SHORTEST PATH FIRST (OSPF)Ann Joseph
 
The Performance Evaluation of IEEE 802.16 Physical Layer in the Basis of Bit ...
The Performance Evaluation of IEEE 802.16 Physical Layer in the Basis of Bit ...The Performance Evaluation of IEEE 802.16 Physical Layer in the Basis of Bit ...
The Performance Evaluation of IEEE 802.16 Physical Layer in the Basis of Bit ...IJCI JOURNAL
 
MCP2515: Stand-Alone CAN Controller
MCP2515: Stand-Alone CAN ControllerMCP2515: Stand-Alone CAN Controller
MCP2515: Stand-Alone CAN ControllerPremier Farnell
 
Serial Data Communication
Serial Data CommunicationSerial Data Communication
Serial Data CommunicationDesty Rahayu
 
Cell plan pci_rss_planning
Cell plan pci_rss_planningCell plan pci_rss_planning
Cell plan pci_rss_planningEyal Rosenblum
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
CCNA Routing and Switching Lesson 05 - WANs - Eric Vanderburg
CCNA Routing and Switching Lesson 05 - WANs - Eric VanderburgCCNA Routing and Switching Lesson 05 - WANs - Eric Vanderburg
CCNA Routing and Switching Lesson 05 - WANs - Eric VanderburgEric Vanderburg
 
Internet technology unit 2
Internet technology unit 2Internet technology unit 2
Internet technology unit 2WE-IT TUTORIALS
 
IRJET- Comparative Study of Implementation of 8-Bit Carry Select Adder us...
IRJET-  	  Comparative Study of Implementation of 8-Bit Carry Select Adder us...IRJET-  	  Comparative Study of Implementation of 8-Bit Carry Select Adder us...
IRJET- Comparative Study of Implementation of 8-Bit Carry Select Adder us...IRJET Journal
 

What's hot (20)

Channel coding a walkthrough
Channel coding a walkthroughChannel coding a walkthrough
Channel coding a walkthrough
 
Cs8591 qb
Cs8591 qbCs8591 qb
Cs8591 qb
 
100 103
100 103100 103
100 103
 
ABIS-interface
ABIS-interfaceABIS-interface
ABIS-interface
 
Topic 6 Digital Technique Data buses
Topic 6 Digital Technique Data busesTopic 6 Digital Technique Data buses
Topic 6 Digital Technique Data buses
 
Bm36382385
Bm36382385Bm36382385
Bm36382385
 
SYNHRONOUS TRANSMISSION OFC
SYNHRONOUS TRANSMISSION OFCSYNHRONOUS TRANSMISSION OFC
SYNHRONOUS TRANSMISSION OFC
 
Chapter3
Chapter3Chapter3
Chapter3
 
OPEN SHORTEST PATH FIRST (OSPF)
OPEN SHORTEST PATH FIRST (OSPF)OPEN SHORTEST PATH FIRST (OSPF)
OPEN SHORTEST PATH FIRST (OSPF)
 
The Performance Evaluation of IEEE 802.16 Physical Layer in the Basis of Bit ...
The Performance Evaluation of IEEE 802.16 Physical Layer in the Basis of Bit ...The Performance Evaluation of IEEE 802.16 Physical Layer in the Basis of Bit ...
The Performance Evaluation of IEEE 802.16 Physical Layer in the Basis of Bit ...
 
MCP2515: Stand-Alone CAN Controller
MCP2515: Stand-Alone CAN ControllerMCP2515: Stand-Alone CAN Controller
MCP2515: Stand-Alone CAN Controller
 
Pc ie tl_layer (3)
Pc ie tl_layer (3)Pc ie tl_layer (3)
Pc ie tl_layer (3)
 
Serial Data Communication
Serial Data CommunicationSerial Data Communication
Serial Data Communication
 
Cell plan pci_rss_planning
Cell plan pci_rss_planningCell plan pci_rss_planning
Cell plan pci_rss_planning
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Static Routing
Static RoutingStatic Routing
Static Routing
 
Cdma
CdmaCdma
Cdma
 
CCNA Routing and Switching Lesson 05 - WANs - Eric Vanderburg
CCNA Routing and Switching Lesson 05 - WANs - Eric VanderburgCCNA Routing and Switching Lesson 05 - WANs - Eric Vanderburg
CCNA Routing and Switching Lesson 05 - WANs - Eric Vanderburg
 
Internet technology unit 2
Internet technology unit 2Internet technology unit 2
Internet technology unit 2
 
IRJET- Comparative Study of Implementation of 8-Bit Carry Select Adder us...
IRJET-  	  Comparative Study of Implementation of 8-Bit Carry Select Adder us...IRJET-  	  Comparative Study of Implementation of 8-Bit Carry Select Adder us...
IRJET- Comparative Study of Implementation of 8-Bit Carry Select Adder us...
 

Similar to IRJET- Design of Virtual Channel Less Five Port Network

Internal Architecture of Junction Based Router
Internal Architecture of Junction Based RouterInternal Architecture of Junction Based Router
Internal Architecture of Junction Based RouterEditor IJCATR
 
Internal Architecture of Junction Based Router
Internal Architecture of Junction Based RouterInternal Architecture of Junction Based Router
Internal Architecture of Junction Based RouterEditor IJCATR
 
Internal Architecture of Junction Based Router
Internal Architecture of Junction Based RouterInternal Architecture of Junction Based Router
Internal Architecture of Junction Based RouterEditor IJCATR
 
Internal Architecture of Junction Based Router
Internal Architecture of Junction Based Router Internal Architecture of Junction Based Router
Internal Architecture of Junction Based Router Editor IJCATR
 
A efficacy of different buffer size on latency of network on chip (NoC)
A efficacy of different buffer size on latency of network on chip (NoC)A efficacy of different buffer size on latency of network on chip (NoC)
A efficacy of different buffer size on latency of network on chip (NoC)journalBEEI
 
ConfigureTwo networks principle
ConfigureTwo networks principleConfigureTwo networks principle
ConfigureTwo networks principleDrAlneami
 
Transaction based AMBA AXI bus interconnect in Verilog
Transaction based AMBA AXI bus interconnect in VerilogTransaction based AMBA AXI bus interconnect in Verilog
Transaction based AMBA AXI bus interconnect in VerilogIRJET Journal
 
Design and Verification of the UART and SPI protocol using UVM
Design and Verification of the UART and SPI protocol using UVMDesign and Verification of the UART and SPI protocol using UVM
Design and Verification of the UART and SPI protocol using UVMIRJET Journal
 
Five Port Router Architecture
Five Port Router ArchitectureFive Port Router Architecture
Five Port Router Architectureijsrd.com
 
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIPVERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIPEditor IJMTER
 
Decoding DMA Transactions and Implementing VFIO in USB4
Decoding DMA Transactions and Implementing VFIO in USB4Decoding DMA Transactions and Implementing VFIO in USB4
Decoding DMA Transactions and Implementing VFIO in USB4IRJET Journal
 
OSPF (Open Shortest Path First) Case Study: Anil Nembang
OSPF (Open Shortest Path First) Case Study: Anil NembangOSPF (Open Shortest Path First) Case Study: Anil Nembang
OSPF (Open Shortest Path First) Case Study: Anil NembangAnil Nembang
 
Implementation of UART with Status Register using Multi Bit Flip-Flop
Implementation of UART with Status Register using Multi Bit  Flip-FlopImplementation of UART with Status Register using Multi Bit  Flip-Flop
Implementation of UART with Status Register using Multi Bit Flip-FlopIJMER
 
IRJET - Display for Crew Station of Next Generation Main Battle Tank
IRJET - Display for Crew Station of Next Generation Main Battle TankIRJET - Display for Crew Station of Next Generation Main Battle Tank
IRJET - Display for Crew Station of Next Generation Main Battle TankIRJET Journal
 
Verification of Four Port Router For NOC
Verification of Four Port Router For NOCVerification of Four Port Router For NOC
Verification of Four Port Router For NOCijsrd.com
 
Asic implementation of i2 c master bus
Asic implementation of i2 c master busAsic implementation of i2 c master bus
Asic implementation of i2 c master busVLSICS Design
 

Similar to IRJET- Design of Virtual Channel Less Five Port Network (20)

Internal Architecture of Junction Based Router
Internal Architecture of Junction Based RouterInternal Architecture of Junction Based Router
Internal Architecture of Junction Based Router
 
Internal Architecture of Junction Based Router
Internal Architecture of Junction Based RouterInternal Architecture of Junction Based Router
Internal Architecture of Junction Based Router
 
Internal Architecture of Junction Based Router
Internal Architecture of Junction Based RouterInternal Architecture of Junction Based Router
Internal Architecture of Junction Based Router
 
Internal Architecture of Junction Based Router
Internal Architecture of Junction Based Router Internal Architecture of Junction Based Router
Internal Architecture of Junction Based Router
 
A efficacy of different buffer size on latency of network on chip (NoC)
A efficacy of different buffer size on latency of network on chip (NoC)A efficacy of different buffer size on latency of network on chip (NoC)
A efficacy of different buffer size on latency of network on chip (NoC)
 
Power Analysis of Embedded Low Latency Network on Chip
Power Analysis of Embedded Low Latency Network on ChipPower Analysis of Embedded Low Latency Network on Chip
Power Analysis of Embedded Low Latency Network on Chip
 
ConfigureTwo networks principle
ConfigureTwo networks principleConfigureTwo networks principle
ConfigureTwo networks principle
 
Transaction based AMBA AXI bus interconnect in Verilog
Transaction based AMBA AXI bus interconnect in VerilogTransaction based AMBA AXI bus interconnect in Verilog
Transaction based AMBA AXI bus interconnect in Verilog
 
Clase 4. Routing IP.pdf
Clase 4. Routing IP.pdfClase 4. Routing IP.pdf
Clase 4. Routing IP.pdf
 
Design and Verification of the UART and SPI protocol using UVM
Design and Verification of the UART and SPI protocol using UVMDesign and Verification of the UART and SPI protocol using UVM
Design and Verification of the UART and SPI protocol using UVM
 
Five Port Router Architecture
Five Port Router ArchitectureFive Port Router Architecture
Five Port Router Architecture
 
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIPVERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
 
Project-Report
Project-ReportProject-Report
Project-Report
 
Decoding DMA Transactions and Implementing VFIO in USB4
Decoding DMA Transactions and Implementing VFIO in USB4Decoding DMA Transactions and Implementing VFIO in USB4
Decoding DMA Transactions and Implementing VFIO in USB4
 
OSPF (Open Shortest Path First) Case Study: Anil Nembang
OSPF (Open Shortest Path First) Case Study: Anil NembangOSPF (Open Shortest Path First) Case Study: Anil Nembang
OSPF (Open Shortest Path First) Case Study: Anil Nembang
 
Ijecet 06 08_004
Ijecet 06 08_004Ijecet 06 08_004
Ijecet 06 08_004
 
Implementation of UART with Status Register using Multi Bit Flip-Flop
Implementation of UART with Status Register using Multi Bit  Flip-FlopImplementation of UART with Status Register using Multi Bit  Flip-Flop
Implementation of UART with Status Register using Multi Bit Flip-Flop
 
IRJET - Display for Crew Station of Next Generation Main Battle Tank
IRJET - Display for Crew Station of Next Generation Main Battle TankIRJET - Display for Crew Station of Next Generation Main Battle Tank
IRJET - Display for Crew Station of Next Generation Main Battle Tank
 
Verification of Four Port Router For NOC
Verification of Four Port Router For NOCVerification of Four Port Router For NOC
Verification of Four Port Router For NOC
 
Asic implementation of i2 c master bus
Asic implementation of i2 c master busAsic implementation of i2 c master bus
Asic implementation of i2 c master bus
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTUREIRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsIRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASIRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProIRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemIRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesIRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web applicationIRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignIRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLDeelipZope
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZTE
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...RajaP95
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 

Recently uploaded (20)

Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCL
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 

IRJET- Design of Virtual Channel Less Five Port Network

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 12 | Dec 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2813 DESIGN OF VIRTUAL CHANNEL LESS FIVE PORT NETWORK S. Sivasubramaniam1, Dr. K. Pushpalatha2 1Assistant Professor, Department of Information Technology, Coimbatore Institute of Engineering and Technology, Tamilnadu, India 2Professor & Head, Department of Information Technology, Coimbatore Institute of Engineering and Technology, Tamilnadu, India ---------------------------------------------------------------------***---------------------------------------------------------------------- Abstract - Networks-on-Chip (NoCs), have emerged as a new design paradigm to overcome the limitation of current bus-based communication infrastructure, and are increasingly important in today’s System-on-Chip (SoC) designs. This architecture offers a general and fixed communication platform which can be reused for a large number of SoC designs. As an integration platform, the NoC should have the capability to provide different level of services for various applications on the samenetwork [1]. In order to implement a competitive NOC architecture, the router should be efficiently design as it is the central component of NOC architecture. For effectiveglobal on-chip communication, on-chip routers provide essential routing functionality with low complexity and relatively high performance. In this paper a five port virtual channel less router was designed using Verilog language which can support five requests simultaneously. It can be obtained by using two different in arbitration single router architecture. Thus the speed of communication can be increased after reducing communication bottleneck by using simplest routing mechanism, flow mechanism and decoding logic. Keywords: Network on Chip (NOC) Router, Round-Robin Arbiter (RRA), First In First Out (FIFO),Virtual Channel (VC). I. INTRODUCTION The advantages of technology scaling have been diminishing since even though CMOS gates in single- processor systems are getting smaller, higher clock frequencies and larger wire resistances have dramatically increased their power dissipation. Therefore, the computer hardware industry has shifted its focus to parallelism, using multi-core processors. Technology scaling has made it possible to integrate a large number of processor cores onto a single chip. In addition, chip bandwidth has been continuously increasing over the past two decades. Network on Chip (NoC) is a new paradigm for System on Chip (SoC) design. Increasing integration producesa situation where busstructure,whichiscommonly used in SoC, becomes blocked and increased capacitance poses physical problems [1]. In NoC architecture traditional bus structure is replaced with a network which is a lot similar to the Internet. Data communications between segments of chip are packetized and transferredthrough the network. The network consists of wires and routers. Processors, memories and other IP-blocks (Intellectual Property) are connected to routers. The Network on Chip approach has a clear advantage over a traditional bus-based interconnect because ofitslayeredandscalablearchitecture. There are a couple of requirements that every Network on Chip implementation has to meet. Performance requirementsaresmall latency,guaranteedthrough-put,path diversity, sufficient transfer capacity and low power consumption [2]. Architectural requirements are scalability, generalityand programmability. Fig.1.showsthatsimple3x3 Network on Chip design Fig.1. Network on Chip. The basic elements which form a NoC-based interconnect are network interfaces (NIs), routers, and links. As technology shrinks, the powerdissipatedbythelinksisas relevant as (or more relevant than) that dissipated by routers and Nis. The focus of this paper is on router, where on-chip router is the fundamental componentofNetwork on Chip. For effective global on-chip communication, Network on Chip routers must provide essential routing functionality with low complexity and relatively high performance. The design goal of router is to provide the essential network functionalities while balancingperformanceand complexity. Efficient design of router meets many necessity parameters and also some of them proposed effective utilization of buffer [3]. But implementation of parallel operation with more simultaneous requests is not addressed. Hence proposed work concentrate on router with parallel one. In this paper a five port virtual channel less router was designed using Verilog language which can support five requests simultaneously. It can be obtained by using two different in arbitration single router architecture. Thus the speed of communication can be increased after reducing
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 12 | Dec 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2814 communication bottleneck by using simplest routing mechanism, flow mechanism and decoding logic. II. ROTER MICROARCHITECTURE In this section we will discuss the details of the proposed router microarchitecture used for NOC. I have omitted the use of Virtual Channels (VCs) instead of VCs would just add another layer of arbitration attheinputports of the router [5]. In this block diagram,theletterpcorrespondstothe number of ports (in this case p = 5), and the letter wrefers to the port width which is also the flit size. The first stage of the router consists of an input buffer to store the incoming flits that make up the messages. The header bits of each flit are supplied to the State module which determines the type of the flit (head flit, body flit, or tail flit), and the output destination of the message. Once the output port has been determined using a lookup table, a request signal (req) is sent to the Round Robin Arbiter.After arbitration, the arbiter sends a grant signal to the priority encoder, which Fig. 2. Proposed Router microarchitecture connects the corresponding input and output ports of the crossbar so that the flit can traverse through the crossbar and reach its destination. At the same time, the arbiter also sends a credit signal to the corresponding input port to release one flit in the buffer so that a new flit can take its place. The letter p corresponds to the numberofportsand w represents the port width. In this diagram p = 5. We need 2-bits to represent the incoming flit identifier:head, body, or tail. Therefore, for a flit size of w, the first8-bitsareused to indicate the flit type and the address Fig.3. Flit partition of the destination core (represented as in[7:0] in Fig.2). The partition of the flit is shown in the diagram of Fig.3. In Table 1, we have shown the different flit identifiers we have used to code the flit types. 2-bits are used for the flit identifier and 6-bits for the destination address of the flit. We will now discuss the implementation of the various router components in Figure 5.1 in more detail. In Table 1, we have shown the different flit identifiers we have used to code the flit types. We will now discuss the implementation of the various router components in Fig.2. in more detail. Table 1 Flit Identifier types and codes Flit Type Flit Identifier Head 11 Body 10 Tail 01 Not-Used 00 A. State Module The State module has 4 main functions. First, it evaluates the flit identifier to find out whether the incoming flit is a head, body, or tail flit. Next, it uses the 6-bit destination address in the flit to determine which router output port the flit/message shouldbesenttousinga lookup table. The output port addressforeachflit/messageisstored in the State module. Then the State module sends a request (req) signal to the arbiter to allow the flits to traverse the crossbar. And finally, the State module reserves the output port so that other input ports cannot use the same output port until it is released. B. Round Robin Arbiter We chose a simple Round Robin arbiter for our router [5]. A Round Robin arbiter was chosen since it is simple to implement and because it exhibits strong fairness. The Round Robin arbiter operates by assigning a low
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 12 | Dec 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2815 priority to a request that has just been granted access to the crossbar. All other pending requests will be serviced before the priority rotates around in a circular fashion [5]. Once a request is granted access to the crossbar, the arbiter sendsa grant signal to the encoder which is described next C. Buffering Buffering is required in most on-chip routers to provide temporary storage of packets that are in transit. Buffering is implemented mostly with FIFO memory. Buffer size and the buffering scheme are the two main considerations. In FIFO there are two chip select they are write chip select (wr_cs) read chip select (rd_cs) which is used to select the appropriate chip for read and write operation. Empty and full are the two variables stores number of read and write operation on the FIFO buffers. These variables are used to know whether the FIFOisempty or full. When enable signal read (rd_en) is high, control logic first check fifo empty signal. If it is high operation is terminated and if it is low packet is read from the memory and cr is incremented by one. When write enable signal (wr_en) is high, control logic first check fifo full signal. If fifo full is high, it means memory is full and no more packets are added in it and operation is terminated. But if it is low, packet is write into the Memory [9]. Fig.4. A 5x5 Multiplexer Crossbar. D. Multiplexer Crossbar Crossbars can come in different flavors, and another type of crossbar we will be looking into is the multiplexer crossbar (or centralized multiplexer) shown in Fig.4. The multiplexer crossbar operates in a manner completely analogous to the matrix crossbar, in the sense that it uses the same select line (sel[p-1:0]) vectors from the Encoder and connects the corresponding input to the appropriate output line as determined by the State module. In this case a multiplexer is used for switching.Each input port connects to a multiplexer at the output ports, and the select line is used to choose the appropriate input port for each output. The input and output registers shown in Fig.4. are the same registers that were shown in the router microarchitecture diagram of Fig.2. E. Encoder The Encoder accepts the grant signal from the Round Robin arbiter, and using the Output Port Address (S[0:2] in Fig.4.) stored in the State module, the Encoder generates a p-bit select line signal for each output port, where p is the number of input ports. The select signal is issued such that only one of the p-bits is high while the remaining bits are low. This ensures that at any point in time, only one input port can drive an output port at the crossbar. III. SIMULATIONS & RESULTS A. Round Robin: Packets with the same priority and destined for the same output port are scheduled with a round-robin arbiter. Round Arbiter can be designed inVerilog&RTLschematicof Round Robin shown in Fig.5. Fig.5. RTL schematic of Round Robin Table 2. Round Robin Function S.No Req [4:0] Grant [4:0] Credit [4:0]1. 00001 00001 11110 2. 00010 00010 11101 3. 00011 00001, 00010 11110, 111014. 01100 00100 01000 11011 101115. 10000 10000 01111 Based on request signal, grant signal will be generate. In the below Fig.6. input request 00011 is given and grant signal obtained is 00001, 00010, 00000. In this
  • 4. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 12 | Dec 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2816 there are ones in request signal based on that, design generated two grant signals Fig.6. Output Waveform for Round Robin B. Encoder: In proposed design architecture encoder is used to generate selection lines for cross bar. It generates four selection lines based on the grant input and state input. Encoder can be designed in Verilog & RTL schematic of Encoder shown in Fig.7. Fig.7. RTL schematic of Encoder Fig.8. Output Waveform for Encoder C. MUX_5X5 _XBAR: In proposed design architecture crossbar is used to connect input to output, it can be connected based on the four selection lines. Fig.9. RTL schematic of Crossbar Fig.10. Output Waveform for Crossbar IV. CONCLUSION In the current silicon era, NoC is not powerandarea efficient although it has higher throughput. Enhancement in the utilization of idle resourcesinsteadofinsertingnewones can make the NoC an ideal solution for current applications. One such problem is the design of the router micro architecture to cope with ever increasing bandwidth requirements, while minimizing the area overhead and power consumption. The proposed NOC virtual channel less micro router architecture are more area and power efficient compared to virtual channel routers. Proposed NOC virtual channel less micro router architecture are designed using Verilog hardware description language, synthesis with the help of Xilinx ISE 14.1 software and design can be simulated using Isim simulator. REFERNCES [1] Yuho Jin, Member, Eun Jung Kim and Timothy Mark Pinkston, Yuho Jin: Communication-Aware Globally- Coordinated On-Chip Networks IEEE Transactions On Parallel and Distributed Systems,vol.23,no.2,February 2018 [2] Radu Marculescu, Umit Y.Ogras,Li-ShiuanPeh,Natalie Enright Jerger, and Yatin Hoskote OutstandingResearch Problems in NoC Design: System,Microarchitecture,and Circuit Perspectives, IEEE Transactions On Computer- Aided Design of Integrated Circuits and Systems,vol.28, no. 1, January 2016 [3] Faizal Arya Samman, Thomas Hollstein and Manfred Glesner,: Adaptive and Deadlock-Free Tree-Based Multicast routing for Networks-on-Chip, IEEE
  • 5. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 12 | Dec 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2817 Transactions On Very Large Scale Integration (VLSI) systems, vol. 18, no. 7, July 2015 [4] T.A. Bartic, J.-Y. Mignolet, V. Nollet, T. Marescaux, D. Verkest, S. Vernalde, R. Lauwereins: Topology adaptive network-on-chip design and implementation. IEE Proceedings – Computers and Digital Techniques, 8 July 2005, Volume 152, Issue 4, pages: 467–472. [5] W.J. Dally, B. Towles: Principles and Practices of Interconnection Net-works. Morgan Kaufmann, 2014. [6] W.J. Dally, B. Towles: Route Packets, Not Wires: On- Chip Interconnection Networks. Proceedings, Design Automation Conference 2001, pages: 684– 689. [7] G. De Micheli, L. Benini: Networks on Chips. Morgan Kaufmann, 2006. [8] M. Dehyadgari, M. Nickray, A. Afzali-kusha, Z. Navabi: Evaluation of Pseudo Adaptive XYRouting Using an Object Oriented Model for NOC. The 17th International Conference on Microelectronics,13–15December2005. [9] J. Dielissen, A. Radulescu, K. Goossens, E. Rijpkema: ConceptsandImplementationofthePhilipsNetwork-on- Chip. IP-Based SOC Design, Grenoble, France, Nov 2003. [10] U. Feige, P. Raghavan: Exact Analysis of Hot-Potato Routing. Foundations of Computer Science, 24–27 October 1992, pages: 553–562.