SlideShare a Scribd company logo
CURRICULUM VITAE
PRAVESH KUMAR
Mobile: +91 8901575190 E-mail:pravesh.pkr@gmail.com
CAREER OBJECTIVE
To take up an inspiring career growth with loyalty, innovation and persistence, and translate my
experiences, knowledge, skills into values for the organization.
PROFESSIONAL SUMMARY
 1+ year of experience in VLSI industry + 6 months professional training in VLSI.
 2 years of experience in SV language and UVM methodology.
 Good knowledge of RAL in both UVM and VMM.
 Strong knowledge of AMBA protocols (AXI, AHB, APB).
 Hand-on experience in coverage driven verification (functional and code coverage).
 Proficiency with VCS, Questa, Xilinx ISE tools.
 Having good experience in verification debug feature at IP level.
 Good knowledge of ASIC design flow.
 Good analytical skills to debug the syntactical and logical error.
PROFESSIONAL WORK EXPERIENCE
MediaTek pvt. Ltd., R&D centre, Bangalore
Job role : Asic verification Intern, from April 27,2015 to till date.
Maven Silicon pvt. Ltd., Bangalore
Job role : Project Intern, from January,2015 to april,2015 .
PROFESSIONAL QUALIFICATIONS
 Internship on Advanced VLSI Design and Verification
Maven Silicon VLSI Design and Training Center, Bangalore in March,2015
 Maven Silicon Certified Advanced VLSI Design and Verification course
Maven Silicon VLSI Design and Training Center, Bangalore in January,2015
 Six weeks Internship on VLSI design and FPGA implementation
CDAC, Mohali, Punjab in June,2013
 Bachelor of technology in Electronics and communication
Lovely Professional University, Punjab with 70.74% in 2014.
 HSC with 72.4 % in 2010.
 SSC with 83% in 2008.
TECHNICAL SKILLS
Name Description
HDL Verilog, VHDL
HVL System Verilog
EDA Tool Synopsis VCS and Verdi, QuestaSim, Xilinx ISE
TB Methodology UVM(RAL also), VMM(RAL also)
Scripting language/
language
Perl (tk also), C++
Operating system Linux, windows
Protocols AMBA- AXI, AHB, APB
Verification
Methodology
Coverage Driven Verification, Assertion Based Verification - SVA
Domain ASIC/FPGA front-end Design and Verification
PROJECTS
 Common Bus IP (CBIP)
Oraganisation : MediaTek
Tools/Methodology : VCS, Verdi, DVE, UVM, VMM
All the Common Bus IP follow a generic UVM environment structure which based on
infraTBA. CBIP is a bridge between two domains sync/async or a protocol to other
protocol.
1. IP name : CBIP_SMI_SUB_COMMON
CBIP_SMI_SUB_COMMON have multiple axi master (2 to 8) and a axi slave i.e having
seven different configuration (2x1, 3x1, 4x1, 5x1, 6x1, 7x1, 8x1) and having many
registers. It is used for pre-merge arbiter in MMSys, priority arbiter for non-
MM_sub_sys usage. APB is used for reading and writing of registers.
Contribution:
 Conversion of environment from VMM to UVM.
 Generated UVM environment from scratch using infratba for all configurations.
 Successful conversion of RAL and verified all registers using inbuild and user
build tests for all seven configurations.
 Completed axi traffic for a random test and working on user build tests.
 Designed and maintained the project related documents.
2. IP name : CBIP_AXI_SLPPROTECT_CTRL
Cbip_slpprotect_ctrl is used to reduce the power of axi. By monitoring handshake
signals it determine when axi bus is in idle state. It also protects the safety of axi bus
signals to make sure transaction are unbroken and prevent the glitch from axi master
spreading in axi bus.
Contribution:
 Debugging the VIP for new VCS version.
 Updated script for running the tests.
 Assertion based verification using VCS dve tool.
 Regressions and coverage based verification.
 Documentation for VIP and every change and results.
3. IP name : CBIP_AXI_UPSIZER
Upsizer is used to transfer axi signals from small data width to large data width. The axi
upsizer converts 2m
bits axi bus transactions into 2m+1
bits axi transactions. It support
different data size transfers 32 to 64, 64 to 128, 128 to 256.
Contribution:
 Debug warning from the logs.
 Functional and code coverage using VCS, dve tools by updating the el file.
4. IP name : CBIP_AXI_DOWNSIZER
Downsizer is used to transfer axi signals from large data width to small data width. The
axi downsizer converts 2m+1
bits axi bus transactions into 2m
bits axi transactions. It
support different data size transfers 64 to 32, 128 to 64,256 to 128.
Contribution:
 Debug warning from the logs.
 Written logic for flush for pipe and non-pipe (code of QOS signal).
 Functional and code coverage using VCS dve tool by updating the el file.
5. IP name : CBIP_CABGEN
Cabgen is an AXI interconnect IP. It provides two dimension freedom of configuration
one for component combination and another for component option. Cabgen have six
configurations.
Contribution:
 Added covergroups and coverpoints for non – axi signals.
 Functional coverage is made to 100%.
 OTHER PROECTS
Organization : Maven silicon
Tools/Methodology : QuestaSim, Xilinx ISE, UVM
1. AXI4 Protocol Verification
The AMBA AXI protocol is targeted at high-performance, high-frequency system and
includes a number of features that make it suitable for a high-speed submicron
interconnects.
Responsibilities:
 Architected the class based verification environment in UVM.
 Verified the protocol with single master single slave environment.
 Generated functional coverage for verification sign-off.
2. AHB2APB Bridge IP Core Verification
The AHB to APB bridge is an AHB slave which works as an interface between the high
speed AHB and the low performance APB buses.
Responsibilities:
 Architected the class based verification environment in UVM.
 Verified the RTL module with single master and single slave.
 Generated functional for the RTL verification.
3. UART- IP Core – design
The UART IP core provides serial communication capabilities, which allow
communication with modem or other external devices.
Responsibilities:
 Architected the design.
 Implemented and Verified RTL using Verilog HDL.
 Synthesized the design.
4. Router – RTL design and Verification
Description: The router accepts the packet on input port and based on the destination
address it routes toone of the client network among client-1,client-2 and client-3
respectively.
Responsibilities:
 Architected the design , Implemented RTL using Verilog HDL.
 Verified the RTL model using Verilog and UVM.
 Generated functional and code coverage for the RTL verification.
 Synthesized the design.
ACHIEVEMENTS
 Captain of school cricket team -Under fourteen, 2004 and 2005.
- Under sixteen, 2007.
 Two silver medals in 100m race at school, 2003 and 2006.
HOBBIES
 Reading books.
 Watching videos.
 Writing diary.
PERSONAL INFORMATION
 Gender: Male
 Date of Birth: 05-11-1991
 Language: Hindi, English
I hereby declare that the information provided here is complete and correct to the best of my
knowledge and belief.
Place: Bangalore
Date: Pravesh Kumar

More Related Content

What's hot

20 - IDNOG03 - Franki Lim (ARISTA) - Overlay Networking with VXLAN
20 - IDNOG03 - Franki Lim (ARISTA) - Overlay Networking with VXLAN20 - IDNOG03 - Franki Lim (ARISTA) - Overlay Networking with VXLAN
20 - IDNOG03 - Franki Lim (ARISTA) - Overlay Networking with VXLAN
Indonesia Network Operators Group
 
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoCDesign and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Rabindranath Tagore University, Bhopal
 
SPEECH CODING
SPEECH CODINGSPEECH CODING
SPEECH CODING
Shradheshwar Verma
 
APB protocol v1.0
APB protocol v1.0APB protocol v1.0
APB protocol v1.0
Azad Mishra
 
SystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification MethodologiesSystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification Methodologies
Ramdas Mozhikunnath
 
SRAM read and write and sense amplifier
SRAM read and write and sense amplifierSRAM read and write and sense amplifier
SRAM read and write and sense amplifierSoumyajit Langal
 
Wireless Local Loop
Wireless Local LoopWireless Local Loop
8b/10b Encoder Decoder design and Verification for PCI Express protocol usin...
8b/10b Encoder Decoder design and  Verification for PCI Express protocol usin...8b/10b Encoder Decoder design and  Verification for PCI Express protocol usin...
8b/10b Encoder Decoder design and Verification for PCI Express protocol usin...
T. Rajib Subudhi
 
Lan & vlan
Lan & vlanLan & vlan
Over view of Transmission Technologies & Optical Fiber Communication
Over view of Transmission Technologies & Optical Fiber Communication Over view of Transmission Technologies & Optical Fiber Communication
Over view of Transmission Technologies & Optical Fiber Communication
Naveen Jakhar, I.T.S
 
wireless communication
wireless communicationwireless communication
wireless communication
Sakshi Bhargava
 
Wavelength division multiplexing
Wavelength division multiplexingWavelength division multiplexing
Wavelength division multiplexing
Nalin Dubey
 
Cdma Security
Cdma SecurityCdma Security
Cdma Security
guestb2cc28
 
System On Chip
System On ChipSystem On Chip
System On Chip
A B Shinde
 
Introduction to VoIP using SIP
Introduction to VoIP using SIPIntroduction to VoIP using SIP
Introduction to VoIP using SIPKundan Singh
 
Wavelength division multiplexing
Wavelength division multiplexingWavelength division multiplexing
Wavelength division multiplexing
Pasdunrata national collage of Education
 
Pci express modi
Pci express modiPci express modi
Pci express modi
proma_goswami
 
Serial peripheral interface
Serial peripheral interfaceSerial peripheral interface
Serial peripheral interface
Abhijeet kapse
 
VLSI Fresher Resume
VLSI Fresher ResumeVLSI Fresher Resume
VLSI Fresher Resume
vikas kumar
 

What's hot (20)

20 - IDNOG03 - Franki Lim (ARISTA) - Overlay Networking with VXLAN
20 - IDNOG03 - Franki Lim (ARISTA) - Overlay Networking with VXLAN20 - IDNOG03 - Franki Lim (ARISTA) - Overlay Networking with VXLAN
20 - IDNOG03 - Franki Lim (ARISTA) - Overlay Networking with VXLAN
 
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoCDesign and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoC
 
SPEECH CODING
SPEECH CODINGSPEECH CODING
SPEECH CODING
 
APB protocol v1.0
APB protocol v1.0APB protocol v1.0
APB protocol v1.0
 
SystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification MethodologiesSystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification Methodologies
 
SRAM read and write and sense amplifier
SRAM read and write and sense amplifierSRAM read and write and sense amplifier
SRAM read and write and sense amplifier
 
Wireless Local Loop
Wireless Local LoopWireless Local Loop
Wireless Local Loop
 
8b/10b Encoder Decoder design and Verification for PCI Express protocol usin...
8b/10b Encoder Decoder design and  Verification for PCI Express protocol usin...8b/10b Encoder Decoder design and  Verification for PCI Express protocol usin...
8b/10b Encoder Decoder design and Verification for PCI Express protocol usin...
 
Lan & vlan
Lan & vlanLan & vlan
Lan & vlan
 
Over view of Transmission Technologies & Optical Fiber Communication
Over view of Transmission Technologies & Optical Fiber Communication Over view of Transmission Technologies & Optical Fiber Communication
Over view of Transmission Technologies & Optical Fiber Communication
 
wireless communication
wireless communicationwireless communication
wireless communication
 
Bluetooth
BluetoothBluetooth
Bluetooth
 
Wavelength division multiplexing
Wavelength division multiplexingWavelength division multiplexing
Wavelength division multiplexing
 
Cdma Security
Cdma SecurityCdma Security
Cdma Security
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
Introduction to VoIP using SIP
Introduction to VoIP using SIPIntroduction to VoIP using SIP
Introduction to VoIP using SIP
 
Wavelength division multiplexing
Wavelength division multiplexingWavelength division multiplexing
Wavelength division multiplexing
 
Pci express modi
Pci express modiPci express modi
Pci express modi
 
Serial peripheral interface
Serial peripheral interfaceSerial peripheral interface
Serial peripheral interface
 
VLSI Fresher Resume
VLSI Fresher ResumeVLSI Fresher Resume
VLSI Fresher Resume
 

Viewers also liked

The Cluster format of Governance
The Cluster format of GovernanceThe Cluster format of Governance
The Cluster format of Governance
UnitedPac Saint Lucia (Conservative Movement)
 
Climate Change Scenarios for Tourist Destinations in St Lucia: Pigeon Causewa...
Climate Change Scenarios for Tourist Destinations in St Lucia: Pigeon Causewa...Climate Change Scenarios for Tourist Destinations in St Lucia: Pigeon Causewa...
Climate Change Scenarios for Tourist Destinations in St Lucia: Pigeon Causewa...
intasave-caribsavegroup
 
Report of the_director_of_audit_for_the_financial_year_2014_2015_final
Report of the_director_of_audit_for_the_financial_year_2014_2015_finalReport of the_director_of_audit_for_the_financial_year_2014_2015_final
Report of the_director_of_audit_for_the_financial_year_2014_2015_final
UnitedPac Saint Lucia (Conservative Movement)
 
Us action against st lucia may be connected to visa revocation
Us action against st lucia may be connected to visa revocationUs action against st lucia may be connected to visa revocation
Us action against st lucia may be connected to visa revocation
UnitedPac Saint Lucia (Conservative Movement)
 
Lenard spider montoute portfolio
Lenard spider montoute portfolioLenard spider montoute portfolio
Lenard spider montoute portfolio
UnitedPac Saint Lucia (Conservative Movement)
 
Uwp manifesto 2016 Elections softcopy
Uwp manifesto 2016 Elections softcopyUwp manifesto 2016 Elections softcopy
Uwp manifesto 2016 Elections softcopy
UnitedPac Saint Lucia (Conservative Movement)
 
SURENDRA CHARY PROFESSIONAL
SURENDRA CHARY PROFESSIONALSURENDRA CHARY PROFESSIONAL
SURENDRA CHARY PROFESSIONALsurendra chary
 
Resume_Ankit_Akash
Resume_Ankit_AkashResume_Ankit_Akash
Resume_Ankit_AkashAnkit Akash
 
brahmaiah resume
brahmaiah resumebrahmaiah resume
brahmaiah resumeBrahmaiah K
 
Resume-Manish_Agrahari_IBM_BPM
Resume-Manish_Agrahari_IBM_BPMResume-Manish_Agrahari_IBM_BPM
Resume-Manish_Agrahari_IBM_BPMManish Agrahari
 

Viewers also liked (15)

The Cluster format of Governance
The Cluster format of GovernanceThe Cluster format of Governance
The Cluster format of Governance
 
Momr june 2016
Momr june 2016Momr june 2016
Momr june 2016
 
Climate Change Scenarios for Tourist Destinations in St Lucia: Pigeon Causewa...
Climate Change Scenarios for Tourist Destinations in St Lucia: Pigeon Causewa...Climate Change Scenarios for Tourist Destinations in St Lucia: Pigeon Causewa...
Climate Change Scenarios for Tourist Destinations in St Lucia: Pigeon Causewa...
 
Report of the_director_of_audit_for_the_financial_year_2014_2015_final
Report of the_director_of_audit_for_the_financial_year_2014_2015_finalReport of the_director_of_audit_for_the_financial_year_2014_2015_final
Report of the_director_of_audit_for_the_financial_year_2014_2015_final
 
Us action against st lucia may be connected to visa revocation
Us action against st lucia may be connected to visa revocationUs action against st lucia may be connected to visa revocation
Us action against st lucia may be connected to visa revocation
 
Lenard spider montoute portfolio
Lenard spider montoute portfolioLenard spider montoute portfolio
Lenard spider montoute portfolio
 
Uwp manifesto 2016 Elections softcopy
Uwp manifesto 2016 Elections softcopyUwp manifesto 2016 Elections softcopy
Uwp manifesto 2016 Elections softcopy
 
SURENDRA CHARY PROFESSIONAL
SURENDRA CHARY PROFESSIONALSURENDRA CHARY PROFESSIONAL
SURENDRA CHARY PROFESSIONAL
 
Resume_Ankit_Akash
Resume_Ankit_AkashResume_Ankit_Akash
Resume_Ankit_Akash
 
Praveen Kumar_CV
Praveen Kumar_CVPraveen Kumar_CV
Praveen Kumar_CV
 
brahmaiah resume
brahmaiah resumebrahmaiah resume
brahmaiah resume
 
Resume
ResumeResume
Resume
 
Bharath_WAS_4.11 yrs
Bharath_WAS_4.11 yrsBharath_WAS_4.11 yrs
Bharath_WAS_4.11 yrs
 
Resume-Manish_Agrahari_IBM_BPM
Resume-Manish_Agrahari_IBM_BPMResume-Manish_Agrahari_IBM_BPM
Resume-Manish_Agrahari_IBM_BPM
 
Resume_Ravichandra Thota
Resume_Ravichandra ThotaResume_Ravichandra Thota
Resume_Ravichandra Thota
 

Similar to pravesh_kumar

Somesh_Tessolve_updated _resume
Somesh_Tessolve_updated _resumeSomesh_Tessolve_updated _resume
Somesh_Tessolve_updated _resumeSomesh Mishra
 
Ramprasad-CV_3+yrs
Ramprasad-CV_3+yrsRamprasad-CV_3+yrs
Ramprasad-CV_3+yrsRamprasad B
 
Rahul_Ramani_Profile
Rahul_Ramani_ProfileRahul_Ramani_Profile
Rahul_Ramani_ProfileRahul Ramani
 
Apoorva Tripathi
Apoorva Tripathi Apoorva Tripathi
Apoorva Tripathi
Apoorva Tripathi
 
Kartik_Parmar_Resume_2016
Kartik_Parmar_Resume_2016Kartik_Parmar_Resume_2016
Kartik_Parmar_Resume_2016Kartik Parmar
 
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VLSICS Design
 
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VLSICS Design
 
Cv of manjunath kudari
Cv of manjunath kudariCv of manjunath kudari
Cv of manjunath kudariJagadeesh Dh
 
Mesa_Yogananda_ASIC_FPGA_Verification
Mesa_Yogananda_ASIC_FPGA_VerificationMesa_Yogananda_ASIC_FPGA_Verification
Mesa_Yogananda_ASIC_FPGA_VerificationYogananda Mesa
 
Mesa_Yogananda_ASIC_FPGA_Verification
Mesa_Yogananda_ASIC_FPGA_VerificationMesa_Yogananda_ASIC_FPGA_Verification
Mesa_Yogananda_ASIC_FPGA_VerificationYogananda Mesa
 
PrathikR_Resume
PrathikR_ResumePrathikR_Resume
PrathikR_ResumePrathik R
 
API 102: Programming with Meraki APIs
API 102: Programming with Meraki APIsAPI 102: Programming with Meraki APIs
API 102: Programming with Meraki APIs
Joel W. King
 
Resume_Anurag_Design_Verification_2+_yrs
Resume_Anurag_Design_Verification_2+_yrsResume_Anurag_Design_Verification_2+_yrs
Resume_Anurag_Design_Verification_2+_yrsANURAG KAVADANA
 

Similar to pravesh_kumar (20)

Basavanthrao_resume_vlsi
Basavanthrao_resume_vlsiBasavanthrao_resume_vlsi
Basavanthrao_resume_vlsi
 
Somesh_Tessolve_updated _resume
Somesh_Tessolve_updated _resumeSomesh_Tessolve_updated _resume
Somesh_Tessolve_updated _resume
 
Hemanth_Krishnan_resume
Hemanth_Krishnan_resumeHemanth_Krishnan_resume
Hemanth_Krishnan_resume
 
Ramprasad-CV_3+yrs
Ramprasad-CV_3+yrsRamprasad-CV_3+yrs
Ramprasad-CV_3+yrs
 
Nijanthan
NijanthanNijanthan
Nijanthan
 
Rahul_Ramani_Profile
Rahul_Ramani_ProfileRahul_Ramani_Profile
Rahul_Ramani_Profile
 
Apoorva Tripathi
Apoorva Tripathi Apoorva Tripathi
Apoorva Tripathi
 
Kartik_Parmar_Resume_2016
Kartik_Parmar_Resume_2016Kartik_Parmar_Resume_2016
Kartik_Parmar_Resume_2016
 
Anoop_VA_CV
Anoop_VA_CVAnoop_VA_CV
Anoop_VA_CV
 
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
 
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
 
Cv of manjunath kudari
Cv of manjunath kudariCv of manjunath kudari
Cv of manjunath kudari
 
Mesa_Yogananda_ASIC_FPGA_Verification
Mesa_Yogananda_ASIC_FPGA_VerificationMesa_Yogananda_ASIC_FPGA_Verification
Mesa_Yogananda_ASIC_FPGA_Verification
 
Lokesh_Resume_2016
Lokesh_Resume_2016Lokesh_Resume_2016
Lokesh_Resume_2016
 
Lokesh_Resume_2016
Lokesh_Resume_2016Lokesh_Resume_2016
Lokesh_Resume_2016
 
Geeta_Resume
Geeta_ResumeGeeta_Resume
Geeta_Resume
 
Mesa_Yogananda_ASIC_FPGA_Verification
Mesa_Yogananda_ASIC_FPGA_VerificationMesa_Yogananda_ASIC_FPGA_Verification
Mesa_Yogananda_ASIC_FPGA_Verification
 
PrathikR_Resume
PrathikR_ResumePrathikR_Resume
PrathikR_Resume
 
API 102: Programming with Meraki APIs
API 102: Programming with Meraki APIsAPI 102: Programming with Meraki APIs
API 102: Programming with Meraki APIs
 
Resume_Anurag_Design_Verification_2+_yrs
Resume_Anurag_Design_Verification_2+_yrsResume_Anurag_Design_Verification_2+_yrs
Resume_Anurag_Design_Verification_2+_yrs
 

pravesh_kumar

  • 1. CURRICULUM VITAE PRAVESH KUMAR Mobile: +91 8901575190 E-mail:pravesh.pkr@gmail.com CAREER OBJECTIVE To take up an inspiring career growth with loyalty, innovation and persistence, and translate my experiences, knowledge, skills into values for the organization. PROFESSIONAL SUMMARY  1+ year of experience in VLSI industry + 6 months professional training in VLSI.  2 years of experience in SV language and UVM methodology.  Good knowledge of RAL in both UVM and VMM.  Strong knowledge of AMBA protocols (AXI, AHB, APB).  Hand-on experience in coverage driven verification (functional and code coverage).  Proficiency with VCS, Questa, Xilinx ISE tools.  Having good experience in verification debug feature at IP level.  Good knowledge of ASIC design flow.  Good analytical skills to debug the syntactical and logical error. PROFESSIONAL WORK EXPERIENCE MediaTek pvt. Ltd., R&D centre, Bangalore Job role : Asic verification Intern, from April 27,2015 to till date. Maven Silicon pvt. Ltd., Bangalore Job role : Project Intern, from January,2015 to april,2015 . PROFESSIONAL QUALIFICATIONS  Internship on Advanced VLSI Design and Verification Maven Silicon VLSI Design and Training Center, Bangalore in March,2015  Maven Silicon Certified Advanced VLSI Design and Verification course Maven Silicon VLSI Design and Training Center, Bangalore in January,2015  Six weeks Internship on VLSI design and FPGA implementation CDAC, Mohali, Punjab in June,2013  Bachelor of technology in Electronics and communication Lovely Professional University, Punjab with 70.74% in 2014.  HSC with 72.4 % in 2010.  SSC with 83% in 2008.
  • 2. TECHNICAL SKILLS Name Description HDL Verilog, VHDL HVL System Verilog EDA Tool Synopsis VCS and Verdi, QuestaSim, Xilinx ISE TB Methodology UVM(RAL also), VMM(RAL also) Scripting language/ language Perl (tk also), C++ Operating system Linux, windows Protocols AMBA- AXI, AHB, APB Verification Methodology Coverage Driven Verification, Assertion Based Verification - SVA Domain ASIC/FPGA front-end Design and Verification PROJECTS  Common Bus IP (CBIP) Oraganisation : MediaTek Tools/Methodology : VCS, Verdi, DVE, UVM, VMM All the Common Bus IP follow a generic UVM environment structure which based on infraTBA. CBIP is a bridge between two domains sync/async or a protocol to other protocol. 1. IP name : CBIP_SMI_SUB_COMMON CBIP_SMI_SUB_COMMON have multiple axi master (2 to 8) and a axi slave i.e having seven different configuration (2x1, 3x1, 4x1, 5x1, 6x1, 7x1, 8x1) and having many registers. It is used for pre-merge arbiter in MMSys, priority arbiter for non- MM_sub_sys usage. APB is used for reading and writing of registers. Contribution:  Conversion of environment from VMM to UVM.  Generated UVM environment from scratch using infratba for all configurations.  Successful conversion of RAL and verified all registers using inbuild and user build tests for all seven configurations.  Completed axi traffic for a random test and working on user build tests.  Designed and maintained the project related documents. 2. IP name : CBIP_AXI_SLPPROTECT_CTRL Cbip_slpprotect_ctrl is used to reduce the power of axi. By monitoring handshake signals it determine when axi bus is in idle state. It also protects the safety of axi bus signals to make sure transaction are unbroken and prevent the glitch from axi master spreading in axi bus. Contribution:  Debugging the VIP for new VCS version.  Updated script for running the tests.  Assertion based verification using VCS dve tool.  Regressions and coverage based verification.  Documentation for VIP and every change and results. 3. IP name : CBIP_AXI_UPSIZER
  • 3. Upsizer is used to transfer axi signals from small data width to large data width. The axi upsizer converts 2m bits axi bus transactions into 2m+1 bits axi transactions. It support different data size transfers 32 to 64, 64 to 128, 128 to 256. Contribution:  Debug warning from the logs.  Functional and code coverage using VCS, dve tools by updating the el file. 4. IP name : CBIP_AXI_DOWNSIZER Downsizer is used to transfer axi signals from large data width to small data width. The axi downsizer converts 2m+1 bits axi bus transactions into 2m bits axi transactions. It support different data size transfers 64 to 32, 128 to 64,256 to 128. Contribution:  Debug warning from the logs.  Written logic for flush for pipe and non-pipe (code of QOS signal).  Functional and code coverage using VCS dve tool by updating the el file. 5. IP name : CBIP_CABGEN Cabgen is an AXI interconnect IP. It provides two dimension freedom of configuration one for component combination and another for component option. Cabgen have six configurations. Contribution:  Added covergroups and coverpoints for non – axi signals.  Functional coverage is made to 100%.  OTHER PROECTS Organization : Maven silicon Tools/Methodology : QuestaSim, Xilinx ISE, UVM 1. AXI4 Protocol Verification The AMBA AXI protocol is targeted at high-performance, high-frequency system and includes a number of features that make it suitable for a high-speed submicron interconnects. Responsibilities:  Architected the class based verification environment in UVM.  Verified the protocol with single master single slave environment.  Generated functional coverage for verification sign-off. 2. AHB2APB Bridge IP Core Verification The AHB to APB bridge is an AHB slave which works as an interface between the high speed AHB and the low performance APB buses. Responsibilities:  Architected the class based verification environment in UVM.  Verified the RTL module with single master and single slave.  Generated functional for the RTL verification.
  • 4. 3. UART- IP Core – design The UART IP core provides serial communication capabilities, which allow communication with modem or other external devices. Responsibilities:  Architected the design.  Implemented and Verified RTL using Verilog HDL.  Synthesized the design. 4. Router – RTL design and Verification Description: The router accepts the packet on input port and based on the destination address it routes toone of the client network among client-1,client-2 and client-3 respectively. Responsibilities:  Architected the design , Implemented RTL using Verilog HDL.  Verified the RTL model using Verilog and UVM.  Generated functional and code coverage for the RTL verification.  Synthesized the design. ACHIEVEMENTS  Captain of school cricket team -Under fourteen, 2004 and 2005. - Under sixteen, 2007.  Two silver medals in 100m race at school, 2003 and 2006. HOBBIES  Reading books.  Watching videos.  Writing diary. PERSONAL INFORMATION  Gender: Male  Date of Birth: 05-11-1991  Language: Hindi, English I hereby declare that the information provided here is complete and correct to the best of my knowledge and belief. Place: Bangalore Date: Pravesh Kumar