SlideShare a Scribd company logo
1
CMOS Design
Lab Manual
Developed By
University Program Team
CoreEl Technologies (I) Pvt. Ltd.
2
Objective
Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the
Full Custom IC design cycle. You will finish the lab by running DRC, LVS and Parasitic
Extraction on the various designs. In the process you will create various components like
inverter, NAND gate, XOR gate, Full adder, Latch, SRAM register cell and PLL, differential
amplifier.
You will start the lab by creating a schematic and will attach the technology library called
“GDK 130nm( generic 13)”. Adding a technology library will ensure that you can do front to
back design.
You will create a new cell called “Inverter” with schematic view and hence build the inverter
schematic by instantiating various components. Once inverter schematic is done, symbol for
“Inverter” is generated. Now you will create a new cell view called “Inverter_sim”, where
you will instantiate “Inverter” symbol. This circuit is verified by doing various simulations
using ELDO. In the process, you will learn to use EZviewer, waveform window options,
waveform calculator, etc...
You will learn the Pyxis Layout Editor basics by concentrating on designing an “Inverter”
through automatic layout generation. Then you will go ahead with completing the other
layouts,generating GDSII file. After that, by taking GDSII file as reference you will run DRC,
LVS checkson the layout, Extract parasitic and back-annotate them to the simulation
environment.
3
Introduction:
This document gives an overview of CMOS design using Mentor graphics Tools.
There are five basic steps:
1. Design the schematic in Pyxis schematic.
2. Simulate the schematic using ELDO / AMS.
3. Physical design using Pyxis Layout.
4. Perform Physical Verification using Calibre which includes DRC, LVS and PEX.
5. Back annotation of parasitics into the schematic for post layout simulation.
4
Invoking Mentor tools:
• Right click on the desktop and select open in terminal
• Type csh and press enter.
• Type source /home/software/cshrc/ams_2009.cshrc which will invoke the mentor tools
environment.
• Type dmgr_ic & and press enter then pyxis project manager window will be invoked as
shown below.
5
Creating a Project:
• To create a new project click on File new project which invokes the new project
window as shown
• Browse on the folder and specify the project path as shown below
6
• After browsing the folder to the specific location give the project name as shown and
click on OK
• Next technology libraries have to be added to the project. In order to add the technology
files browse on the folder as shown
7
• Navigate to /home/software/FOUNDRY/GDK/Pyxis_SPT_HEP
/ic_reflibs/tech_libs and select the generic13 file and click on OK
• Again click on OK then manage external/logic libraries window will pop up as shown
8
• Click on the Add Standard Libraries
• Then the libraries will be added up as shown below and click on OK
• Then the pyxis project manager window will be shown where the technology
libraries are added to the project and are placed below the project name
9
10
Creating a Library:
• To create a library right click on the project name and select new library or
click on the icon on the icon bar
• Then a new library window will pop up asking for the library name.
Next name the library and click on OK.
11
Creating a Schematic cell view:
• To create a schematic cell view, a new cell has to be created in which new
schematic has to be defined. In order to create new cell right click on the
manual library below the project name and select new cell or select the library
and click on the icon in the icon bar.
• Then a new cell window will pop up asking for the cell name in which give the
cell name and click OK
• To create a schematic in the cell,right click on the cell name and select new
schematic or click on the new cell and select the icon in the icon bar
12
• A window will pop up asking for the schematic name
Now name the schematic and click on OK which in turn leads to the pyxis schematic
editor window as shown
13
Creating a Schematic:
In this section you will become familiar with placing primitive analog devices for a
inverter.
You’ll learn how to:
• place primitives on the schematic
• select and manipulate devices
• customizing hotkeys for placing devices
• route devices
• edit device parameter values
• name instances
• check and save the schematic
• create upper hierarchical symbols
• create test bench
• simulate using Eldo
• view results
Creating an Inverter:
Placing devices:
• From the left icon bar press on add instance icon
or press 'I'
14
• Then a file browser which contains entire libraries will pop up as shown
• Next click on the double click on generic13 in the library list
And then follow the path to select pmos from $generic13/symbols/pmos as shown
15
• Select the pmos and click on OK to place the pmos on the workspace as
shown
16
Changing device properties
In order to change the properties of the devices on the workspace click on the
then the corresponding device properties will be shown in the object editor as shown.
Now enter the prescribed value in the field provided and press enter .Then the value
will be changed .Here the width has been set to 0.15u and length to 0.13u.
• Similarly select the nmos and change the W=0.13u,L=0.15u and the
schematic would look as follows
17
Adding the ports and connecting the devices
• In the similar way select the VDD and ground from the generic lib or click on the
library in the layer palatte window then layer palatte will be shown as ic library
window. Then select generic library and place VDD and ground
18
• Place in IN and OUT ports in a similar way as above from the generic library
or click on the add ports icon in the left icon bar and connect the circuit
• Then the schematic would look as follows
For changing the port names click on the port and change the net name in the object
editor to the required name which is shown below.
19
To change the name, enter the name in the field given for the net name and press
enter. Then the schematic will be as shown
• Next click on check/save icon in the icon bar
20
This will result to an window which shows the error report where the errors and
warnings in the schematic can be seen
21
Generating a symbol:
• To generate a symbol select Add in the menu bar and then select generate
symbol from pull down menu bar
Add --> generate symbol
• A generate symbol will pop up as shown
Here check activate symbol as shown above. If you want set shape of the symbol
select it from choose shape. Then click on OK which leads to the pyxis symbol
window.
22
• Next click on check/save icon in the icon bar
Creating a Test bench
• To create a test bench close the pyxis schematic and symbol windows and go
back to pyxis project manager window. In the project manager window to
create new cell right click on the manual library below the project name and
select new cell or select the library and click on the icon
in the icon bar
• Then a new cell window will pop up asking for the cell name in which give the
cell name and click OK
23
• Here the test bench cell name has been specified as inv_tb.
• Right click on the test bench cell and select new schematic which in turn opens
pyxis schematic editor window
• Now instantiate the new inverter symbol by selecting Add > Instance from the
left icon Palette or pressing the hot key i. Select the Symbol view of the
inverter cell from the inv cell of the manual library
24
Place the symbol on the work space as shown
• Add the IN and OUT net as before by selecting the hot key i. Name the nets
with hot key “q”.
• Add VDD and Ground ports in a similar fashion.
25
• Add a DC voltage source dc_v_source, from the MGC_IC_SOURCES_LIB.
Change the value of the DC property to be 3.3 V. Add PULSE voltage source
pulse_v_source and change the value of the pulse_value property to be 3.3 V
also change the delay to be 0S.
Finally the circuit looks like the following
• Next click on check/save icon in the icon bar
This will result to an window which shows the error report where the errors and
warnings in the symbol can be seen.
Simulating the schematic:
Simulating test bench
• When you have no errors select the Simulation icon from the left icon palette
to go into design context and simulate our design or select context in the
menu bar and select run simulation.
26
• Click ok when this form appears. Now you are in the Design context and need to
setup the analysis type, plots and load in the Eldo models
• In the design context from menu bar select Simulation-> setup simulation
or click on setup simulation icon in the left icon palatte.
27
• A set up simulation window will pop up as shown
28
To set up analysis select analysis in the simulation panel and in the analysis
setup select the required analysis and set the values of the analysis in the beside
window as shown above
Here I have selected the transient analysis with the start time as 0ns, stop
time=100ns
and print timestep=5ns as shown.
After specifying the values click on apply
29
• To probe the waveforms, click on the outputs in the selection panel ,then
select the input port of the schematic in the pyxis schematic window as shown.
• In the setup simulation window , click Add button then the port will be added
to the waveform as shown
similarly add all the waveforms required to see in the ezwave.
30
• To add the power plot select the symbol in simulation schematic, click on
outputs it opens simulation setup. Here we have to select
Analysis -> TRAN
Task -> Plot
Type -> Power
• After adding the analysis, eldo models and probing waveforms minimize
the setup simulation window and run the simulator.To run the simulation
select from the left icon palette or select simulate-> run simulation
View the simulation results by selecting the plot results from latest run icon from
the left icon palatte. This will open EZWave for you with the output waveforms. This
is how the waveforms look like after zooming
31
Click on Measurement tool in the icon bar which opens up the measurement
tool window where we can measure the different properties of your waveforms
Delay measurement :
In order to measure delay select the Measurement tool in Ezwave window and
select the Below options
Measurement -> Delay
Waveform(#1) -> select V(A) and add selected waveform
Waveform(#2) -> select V(Y) and add selected waveform
In Measurement setup select
Waveform(#2) Edge Relationship : Inverting
Enable the Find Closest reference edge option. and click ok.
This process shows you how to measure the delay between input and output.
32
DC Analysis:
• For adding DC analysis click on Analysis button in palette pane of simulation
environment, enable the DC option as displayed below, click apply and ok.
• Now select the input and output nets in simulation schematic and click on
outputs button and select the following options for DC analysis outputs
Analysis -> DC
Task -> Plot
Type -> Voltage
then click on add button and click ok.
33
After adding the outputs click on Run ELDO (the log should display netlist
completed successfully and Simulation completed successfully). Then click on
View waves to visualise the DC analysis results.
Now use Add Cursor option to visualise the switching voltage of the design.
34
Creating a layout:
• To create a layout select inv cell ,right click on the cell and select new layout
• A new window named New layout will pop up, here name the layout as
shown and click Ok
35
Pyxis layout window will be invoked with a new layout sub window in it nd keep the
settings as shown and click on OK
Click restore button and click on MGC --> setup and select left right tiling and click
ok
Restore
button
36
Creating SDL:
• Make the Schematic window active by selecting it with the LMB. Select the
PMOS and press on the Pick & Place icon from SDL tool bar on the Icon bar.
The tool will place the device on the Workspace of IC layout window. Similarly
select the NMOS and place it on the workspace
Note: To make SDL toolbar active, goto setup->SDL.
Creating SDL:
• Make the Schematic window active by selecting it with the LMB.. Select PMOS schematic
and click on Inst option in palette area and place the automatically generated transistor layout
in layout window, similarly select the NMOS and place it on the workspace
• After adding the layouts of transistor, select any one of the net in schematic window and
click on inst and then on port, now move your cursor towards layout window and place
the ports one by one.
• now the layout window should look like below.
37
• Now expand the layout window and draw the layout as specified below
1. select the layer POLYG from the layer Palette window and select Easyedit -> shape
from IC palette and connect the gates of NMOS and PMOS transistors as displayed
below.
2. Select the option Tools from the top row menu and select IRoute and interconnect
PMOS and NMOS transistor as displayed below ( press 'w' to change the width of the
metal track and specify width as 0.26).
38
3. Connect the output port to the metal track using Iroute
4. Create VDD Plane and GND plane using Metal-1 layer . Select metal-1 in layer
palette and choose easyedit -> shape from ic palette and draw planes as shown below
and place the VDD and GND ports in the respective planes
5. Connect the Source of PMOS transistor to VDD plane and Drain of NMOS
transistor to GND plane using Iroute ( keep the width as 0.26).
39
6. To connect the Input port to poly layer ( poly to metal contact) we have to follow
below steps
a. extend the poly region ( approx 0.5x0.5) and draw a contact to poly by
selecting CO layer on Layer palette and select easy edit and shape draw
exactly 0.16x0.16 of CO layer in the extended poly region.
b. now draw metal-1 layer over the CO layer to the input port as shown below
7. To add N-well contacts select the VDD plane in layout area, click on DLA Layout
in IC palette and click on via (right arrow) and select fill selected and select
"m1nwell" in IC device shape via window and click ok
8. To add P-well contacts select the GND plane in layout area, click on DLA Layout
in IC palette and click on via (right arrow) and select fill selected and select
"m1psub" in IC device shape via window and click ok
9. this finishes the layout for Inverter, Now select Add (from top row) and select add
text on ports and click ok. this should add the names on the I/O ports.
40
Physical Verification of a Layout:
Now you can verify the layout by running DRC and LVS checks. we will run Calibre Interactive
Running Calibre Interactive DRC
• In the pyxis layout window, Select Tools Calibre Run DRC
• This will bring up the Calibre Interactive - DRC
Note: Make sure the tabs named Rules,Inputs,Outputs,Run control should be green in color as
shown above which ensures the paths specified are correct. Otherwise paths have to be changed .
• Select Run DRC in the Calibre Interactive window
• The Calibre RVE window will popup and you should see the following results
41
Here the error is due to the percentage of the polysilicon. It requires polyarea coverage of
14%which is not possible in the smaller circuits. So you can ignore that error.
The error in the layout will be highlighted as shown in the fig once if you select on the error
42
Running the LVS
• Select Run LVS in the caliber interactive window shown above
• Calibre RVE window will popup and you should see results similar to this
• If the comparison is wrong click on the comparison results in the RVE window, which
shows the results and select schematics then the netlists will be displayed as shown
• Select Tools > Calibre > Run LVS entry from the pull down menu.
• The Calibre Interactive - LVS window will popup. the Inputs and Netlist tabs are active
as shown.
43
Click on the blocks of the Netlist of the schematic and layout which yields the circuits from you
can verify the connections and ports name and avoid the incorrect LVS
Running Calibre Interactive PEX
• Select Tools Calibre > Run PEX entery from the pull down menu
• The Calibre Interactive - PEX window will popup. Make sure Export from schematic
viewer is selected while the Inputs and Netlist ( if the netlist file is in red colour
browse and select inv.calibre.src.net file)tabs are active as shown
• Choose the output netlist to be in DSPF Format and extraction type to R+C as in the figure below
44
• Save the Netlist file as inv.pex.dspf in any location of your computer
• Select start RVE from caliber interactive pex.
• Calibre RVE window will pop up select parasitics in the navigator then extraction
results will be shown as shown below
• Select Run PEX
• The PEX Netlist file windows will be invoked as shown
45
• Double click on the port name then the parasitic values will be shown
• Double click on the value, then corresponding value will be highlighted in the layout
as shown
46
• Open the test bench schematic and enter the simulation mode, then select the inverter
block
• A window named Add DSPF will pop up where browse on the folder to the saved Netlist
inv.pex.dspf and click OK as shown.
• After adding the .dspf file click on Run Eldo ( should get simulation completed successfully)
• Click on View waves to visulalise the post layout simulation.
47
Using Measurement tools we can measure all the parameters of the input and
output signals.
48
AIM: To design and simulate the CMOS NAND gate
TOOLS: Pyxis Schematic, Pyxis Layout, Eldo, Ezwave, Calibre.
CIRCUIT DIAGRAM:
SIMULATION CIRCUIT:
PROCEDURE:
1. Connect the Circuit as shown in the circuit diagram using Pyxis schematic.
2. Create a simulation schematic for simulation.
3. Add necessary nets in outputs to view waveforms.
4. Run the Simulation and observe results in EZwave.
5. Draw the Layout for the circuit using Pyxis Layout.
7. Run the physical verification (DRC, LVS, PEX) using Calibre tool .
8. Run the post layout simulation by adding the .dspf file generated in PEX.
9. Observe the post layout results.
49
LAYOUT:
RESULT:
50
AIM: To design and simulate the CMOS NOR gate
TOOLS: Pyxis Schematic, Pyxis Layout, Eldo, Ezwave, Calibre.
CIRCUIT DIAGRAM:
SIMULATION CIRCUIT:
PROCEDURE:
1. Connect the Circuit as shown in the circuit diagram using Pyxis schematic.
2. Create a simulation schematic for simulation.
3. Add necessary nets in outputs to view waveforms.
4. Run the Simulation and observe results in EZwave.
5. Draw the Layout for the circuit using Pyxis Layout.
7. Run the physical verification (DRC, LVS, PEX) using Calibre tool .
8. Run the post layout simulation by adding the .dspf file generated in PEX.
9. Observe the post layout results.
51
LAYOUT:
RESULTS:
52
AIM: To design and simulate the CMOS EXOR gate
TOOLS: Pyxis Schematic, Pyxis Layout, Eldo, Ezwave, Calibre.
CIRCUIT DIAGRAM:
SIMULATION CIRCUIT:
PROCEDURE:
1. Connect the Circuit as shown in the circuit diagram using Pyxis schematic.
2. Create a simulation schematic for simulation.
3. Add necessary nets in outputs to view waveforms.
4. Run the Simulation and observe results in EZwave.
5. Draw the Layout for the circuit using Pyxis Layout.
7. Run the physical verification (DRC, LVS, PEX) using Calibre tool .
8. Run the post layout simulation by adding the .dspf file generated in PEX.
9. Observe the post layout results.
53
LAYOUT:
RESULTS:
54
AIM: To design and simulate the CMOS Latch
TOOLS: Pyxis Schematic, Pyxis Layout, Eldo, Ezwave, Calibre.
CIRCUIT DIAGRAM:
SIMULATION CIRCUIT:
PROCEDURE:
1. Connect the Circuit as shown in the circuit diagram using Pyxis schematic.
2. Create a simulation schematic for simulation.
3. Add necessary nets in outputs to view waveforms.
4. Run the Simulation and observe results in EZwave.
5. Draw the Layout for the circuit using Pyxis Layout.
7. Run the physical verification (DRC, LVS, PEX) using Calibre tool .
8. Run the post layout simulation by adding the .dspf file generated in PEX.
9. Observe the post layout results.
55
LAYOUT:
RESULTS:
56
AIM: To design and simulate the CMOS RAM cell
TOOLS: Pyxis Schematic, Pyxis Layout, Eldo, Ezwave, Calibre.
CIRCUIT DIAGRAM:
SIMULATION CIRCUIT:
PROCEDURE:
1. Connect the Circuit as shown in the circuit diagram using Pyxis schematic.
2. Create a simulation schematic for simulation.
3. Add necessary nets in outputs to view waveforms.
4. Run the Simulation and observe results in EZwave.
5. Draw the Layout for the circuit using Pyxis Layout.
7. Run the physical verification (DRC, LVS, PEX) using Calibre tool .
8. Run the post layout simulation by adding the .dspf file generated in PEX.
9. Observe the post layout results.
57
LAYOUT:
RESULTS:
58
AIM: To design and simulate the CMOS 1 Bit Full Adder.
TOOLS: Pyxis Schematic, Pyxis Layout, Eldo, Ezwave, Calibre.
CIRCUIT DIAGRAM:
SIMULATION CIRCUIT:
PROCEDURE:
1. Connect the Circuit as shown in the circuit diagram using Pyxis schematic.
2. Create a simulation schematic for simulation.
3. Add necessary nets in outputs to view waveforms.
4. Run the Simulation and observe results in EZwave.
5. Draw the Layout for the circuit using Pyxis Layout.
7. Run the physical verification (DRC, LVS, PEX) using Calibre tool .
8. Run the post layout simulation by adding the .dspf file generated in PEX.
9. Observe the post layout results.
59
LAYOUT:
RESULTS:
60
AIM: To design and simulate the Common Source Amplifier.
TOOLS: Pyxis Schematic, Pyxis Layout, Eldo, Ezwave, Calibre.
CIRCUIT DIAGRAM:
SIMULATION CIRCUIT:
PROCEDURE:
1. Connect the Circuit as shown in the circuit diagram using Pyxis schematic.
2. Create a simulation schematic for simulation.
3. Add necessary nets in outputs to view waveforms.
4. Run the Simulation and observe results in EZwave.
5. Draw the Layout for the circuit using Pyxis Layout.
7. Run the physical verification (DRC, LVS, PEX) using Calibre tool .
8. Run the post layout simulation by adding the .dspf file generated in PEX.
9. Observe the post layout results.
61
AC ANALYSIS:
• Click on Simulation button form palette area and enter into simulation mode.
• Select the analysis option and specify the below options as given in image.
• Now select the input net and output net and click on Outputs button on palette area and
add the below parameters
Analaysis --> AC
Type --> Voltage
Task --> Plot
Modifier --> Magnitude (dB)
Click on OK
again add one more output parameter for phase as below
Analaysis --> AC
Type --> Voltage
Task --> Plot
Modifier --> Phase
Click ok
• click on run eldo and view waves to see the results
62
RESULTS:
AC Analysis result:
Transient Analysis result:
LAYOUT:
63
AIM: To design and simulate the Differential Amplifier.
TOOLS: Pyxis Schematic, Pyxis Layout, Eldo, Ezwave, Calibre.
CIRCUIT DIAGRAM:
SIMULATION CIRCUIT:
64
PROCEDURE:
1. Connect the Circuit as shown in the circuit diagram using Pyxis schematic.
2. Create a simulation schematic for simulation.
3. Add necessary nets in outputs to view waveforms.
4. Run the Simulation and observe results in EZwave.
5. Draw the Layout for the circuit using Pyxis Layout.
7. Run the physical verification (DRC, LVS, PEX) using Calibre tool .
8. Run the post layout simulation by adding the .dspf file generated in PEX.
9. Observe the post layout results.
RESULTS:
AC Analysis result:
Transient Analysis result:
65
LAYOUT:

More Related Content

What's hot

Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
Rajendra Kumar
 
Eye diagram in Communication
Eye diagram in CommunicationEye diagram in Communication
Eye diagram in Communication
Sivanesh M
 
Physical design
Physical design Physical design
Physical design
Mantra VLSI
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
Mahesh Dananjaya
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI DesignTeam-VLSI-ITMU
 
VLSI routing
VLSI routingVLSI routing
VLSI routing
Naveen Kumar
 
Vlsi testing
Vlsi testingVlsi testing
Vlsi testing
Dilip Mathuria
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
Sushil Kundu
 
Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devicesAshu0711
 
Multi chip module
Multi chip moduleMulti chip module
Multi chip module
Biddika Manjusree
 
Verilog Test Bench
Verilog Test BenchVerilog Test Bench
Verilog Test Bench
Dr.YNM
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
shaik sharief
 
42 PPT-5 BOUNDARY SCAN....pptx
42 PPT-5 BOUNDARY SCAN....pptx42 PPT-5 BOUNDARY SCAN....pptx
42 PPT-5 BOUNDARY SCAN....pptx
saisudheerkotta
 
Digital Communication Unit 1
Digital Communication Unit 1Digital Communication Unit 1
Digital Communication Unit 1
Anjuman College of Engg. & Tech.
 
ASIC VS FPGA.ppt
ASIC VS FPGA.pptASIC VS FPGA.ppt
ASIC VS FPGA.ppt
gopakumar885691
 
Asic vs fpga
Asic vs fpgaAsic vs fpga
Asic vs fpga
Shalini Kamade
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
Maryala Srinivas
 
Vlsi
VlsiVlsi
Intellectual property in vlsi
Intellectual property in vlsiIntellectual property in vlsi
Intellectual property in vlsi
Saransh Choudhary
 
vlsi question bank
vlsi question bankvlsi question bank
vlsi question bank
Ravi Selvaraj
 

What's hot (20)

Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Eye diagram in Communication
Eye diagram in CommunicationEye diagram in Communication
Eye diagram in Communication
 
Physical design
Physical design Physical design
Physical design
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
VLSI routing
VLSI routingVLSI routing
VLSI routing
 
Vlsi testing
Vlsi testingVlsi testing
Vlsi testing
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 
Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devices
 
Multi chip module
Multi chip moduleMulti chip module
Multi chip module
 
Verilog Test Bench
Verilog Test BenchVerilog Test Bench
Verilog Test Bench
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
42 PPT-5 BOUNDARY SCAN....pptx
42 PPT-5 BOUNDARY SCAN....pptx42 PPT-5 BOUNDARY SCAN....pptx
42 PPT-5 BOUNDARY SCAN....pptx
 
Digital Communication Unit 1
Digital Communication Unit 1Digital Communication Unit 1
Digital Communication Unit 1
 
ASIC VS FPGA.ppt
ASIC VS FPGA.pptASIC VS FPGA.ppt
ASIC VS FPGA.ppt
 
Asic vs fpga
Asic vs fpgaAsic vs fpga
Asic vs fpga
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
Vlsi
VlsiVlsi
Vlsi
 
Intellectual property in vlsi
Intellectual property in vlsiIntellectual property in vlsi
Intellectual property in vlsi
 
vlsi question bank
vlsi question bankvlsi question bank
vlsi question bank
 

Similar to Mentor manual

Ecad final
Ecad finalEcad final
Ecad final
Srinivas Sri
 
Pyxis sdl manual
Pyxis sdl   manualPyxis sdl   manual
Pyxis sdl manual
Vijay Kannamalla
 
Vlsi cadence tutorial_ahmet_ilker_şin
Vlsi cadence tutorial_ahmet_ilker_şinVlsi cadence tutorial_ahmet_ilker_şin
Vlsi cadence tutorial_ahmet_ilker_şin
ilker Şin
 
Cadence SImulation
Cadence SImulationCadence SImulation
Cadence SImulationRajaSekar K
 
CIC_Manual.pdf
CIC_Manual.pdfCIC_Manual.pdf
CIC_Manual.pdf
RMani7
 
Automation in Hypermesh
Automation in HypermeshAutomation in Hypermesh
Automation in Hypermesh
Akshay Mistri
 
Tutorial ic design
Tutorial ic designTutorial ic design
Tutorial ic design
Vijay Kannamalla
 
Vhdl design flow
Vhdl design flowVhdl design flow
Vhdl design flow
Rohit Chintu
 
DesignSpark PCB Workshop Notes 2018
DesignSpark PCB Workshop Notes 2018DesignSpark PCB Workshop Notes 2018
DesignSpark PCB Workshop Notes 2018
DesignSparkGC
 
Vlsi lab manual exp:2
Vlsi lab manual exp:2Vlsi lab manual exp:2
Vlsi lab manual exp:2komala vani
 
VLSI lab manual Part B, VTU 7the sem KIT-tiptur
VLSI lab manual Part B, VTU 7the sem KIT-tipturVLSI lab manual Part B, VTU 7the sem KIT-tiptur
VLSI lab manual Part B, VTU 7the sem KIT-tiptur
Pramod Kumar S
 
Customizing Xcos with new Blocks and Palette
Customizing Xcos with new Blocks and PaletteCustomizing Xcos with new Blocks and Palette
Customizing Xcos with new Blocks and Palette
Scilab
 
Xcos for very beginners
Xcos for very beginnersXcos for very beginners
Xcos for very beginners
Scilab
 
Matlab simulink introduction
Matlab simulink introductionMatlab simulink introduction
Matlab simulink introduction
Ameen San
 
Cadence manual
Cadence manualCadence manual
Cadence manual
Hoopeer Hoopeer
 
Hv 4000 querying results
Hv 4000 querying resultsHv 4000 querying results
Hv 4000 querying results
varghese99
 
First steps with Scilab
First steps with ScilabFirst steps with Scilab
First steps with Scilab
Scilab
 
EC6612 VLSI Design Lab Manual
EC6612 VLSI Design Lab ManualEC6612 VLSI Design Lab Manual
EC6612 VLSI Design Lab Manual
tamil arasan
 
Digital system design lab procedure ppt
Digital system design lab procedure pptDigital system design lab procedure ppt
Digital system design lab procedure ppt
Siripurapu Sridhar
 

Similar to Mentor manual (20)

Ecad final
Ecad finalEcad final
Ecad final
 
Pyxis sdl manual
Pyxis sdl   manualPyxis sdl   manual
Pyxis sdl manual
 
Vlsi cadence tutorial_ahmet_ilker_şin
Vlsi cadence tutorial_ahmet_ilker_şinVlsi cadence tutorial_ahmet_ilker_şin
Vlsi cadence tutorial_ahmet_ilker_şin
 
Cadence SImulation
Cadence SImulationCadence SImulation
Cadence SImulation
 
CIC_Manual.pdf
CIC_Manual.pdfCIC_Manual.pdf
CIC_Manual.pdf
 
Automation in Hypermesh
Automation in HypermeshAutomation in Hypermesh
Automation in Hypermesh
 
Tutorial ic design
Tutorial ic designTutorial ic design
Tutorial ic design
 
Vhdl design flow
Vhdl design flowVhdl design flow
Vhdl design flow
 
DesignSpark PCB Workshop Notes 2018
DesignSpark PCB Workshop Notes 2018DesignSpark PCB Workshop Notes 2018
DesignSpark PCB Workshop Notes 2018
 
Vlsi lab manual exp:2
Vlsi lab manual exp:2Vlsi lab manual exp:2
Vlsi lab manual exp:2
 
VLSI lab manual Part B, VTU 7the sem KIT-tiptur
VLSI lab manual Part B, VTU 7the sem KIT-tipturVLSI lab manual Part B, VTU 7the sem KIT-tiptur
VLSI lab manual Part B, VTU 7the sem KIT-tiptur
 
Customizing Xcos with new Blocks and Palette
Customizing Xcos with new Blocks and PaletteCustomizing Xcos with new Blocks and Palette
Customizing Xcos with new Blocks and Palette
 
Xcos for very beginners
Xcos for very beginnersXcos for very beginners
Xcos for very beginners
 
Matlab simulink introduction
Matlab simulink introductionMatlab simulink introduction
Matlab simulink introduction
 
Cadence manual
Cadence manualCadence manual
Cadence manual
 
Wmc lab (1)
Wmc lab (1)Wmc lab (1)
Wmc lab (1)
 
Hv 4000 querying results
Hv 4000 querying resultsHv 4000 querying results
Hv 4000 querying results
 
First steps with Scilab
First steps with ScilabFirst steps with Scilab
First steps with Scilab
 
EC6612 VLSI Design Lab Manual
EC6612 VLSI Design Lab ManualEC6612 VLSI Design Lab Manual
EC6612 VLSI Design Lab Manual
 
Digital system design lab procedure ppt
Digital system design lab procedure pptDigital system design lab procedure ppt
Digital system design lab procedure ppt
 

Recently uploaded

In silico drugs analogue design: novobiocin analogues.pptx
In silico drugs analogue design: novobiocin analogues.pptxIn silico drugs analogue design: novobiocin analogues.pptx
In silico drugs analogue design: novobiocin analogues.pptx
AlaminAfendy1
 
Orion Air Quality Monitoring Systems - CWS
Orion Air Quality Monitoring Systems - CWSOrion Air Quality Monitoring Systems - CWS
Orion Air Quality Monitoring Systems - CWS
Columbia Weather Systems
 
extra-chromosomal-inheritance[1].pptx.pdfpdf
extra-chromosomal-inheritance[1].pptx.pdfpdfextra-chromosomal-inheritance[1].pptx.pdfpdf
extra-chromosomal-inheritance[1].pptx.pdfpdf
DiyaBiswas10
 
Comparative structure of adrenal gland in vertebrates
Comparative structure of adrenal gland in vertebratesComparative structure of adrenal gland in vertebrates
Comparative structure of adrenal gland in vertebrates
sachin783648
 
What is greenhouse gasses and how many gasses are there to affect the Earth.
What is greenhouse gasses and how many gasses are there to affect the Earth.What is greenhouse gasses and how many gasses are there to affect the Earth.
What is greenhouse gasses and how many gasses are there to affect the Earth.
moosaasad1975
 
BLOOD AND BLOOD COMPONENT- introduction to blood physiology
BLOOD AND BLOOD COMPONENT- introduction to blood physiologyBLOOD AND BLOOD COMPONENT- introduction to blood physiology
BLOOD AND BLOOD COMPONENT- introduction to blood physiology
NoelManyise1
 
general properties of oerganologametal.ppt
general properties of oerganologametal.pptgeneral properties of oerganologametal.ppt
general properties of oerganologametal.ppt
IqrimaNabilatulhusni
 
(May 29th, 2024) Advancements in Intravital Microscopy- Insights for Preclini...
(May 29th, 2024) Advancements in Intravital Microscopy- Insights for Preclini...(May 29th, 2024) Advancements in Intravital Microscopy- Insights for Preclini...
(May 29th, 2024) Advancements in Intravital Microscopy- Insights for Preclini...
Scintica Instrumentation
 
Unveiling the Energy Potential of Marshmallow Deposits.pdf
Unveiling the Energy Potential of Marshmallow Deposits.pdfUnveiling the Energy Potential of Marshmallow Deposits.pdf
Unveiling the Energy Potential of Marshmallow Deposits.pdf
Erdal Coalmaker
 
Nucleic Acid-its structural and functional complexity.
Nucleic Acid-its structural and functional complexity.Nucleic Acid-its structural and functional complexity.
Nucleic Acid-its structural and functional complexity.
Nistarini College, Purulia (W.B) India
 
Chapter 12 - climate change and the energy crisis
Chapter 12 - climate change and the energy crisisChapter 12 - climate change and the energy crisis
Chapter 12 - climate change and the energy crisis
tonzsalvador2222
 
Mammalian Pineal Body Structure and Also Functions
Mammalian Pineal Body Structure and Also FunctionsMammalian Pineal Body Structure and Also Functions
Mammalian Pineal Body Structure and Also Functions
YOGESH DOGRA
 
nodule formation by alisha dewangan.pptx
nodule formation by alisha dewangan.pptxnodule formation by alisha dewangan.pptx
nodule formation by alisha dewangan.pptx
alishadewangan1
 
Hemoglobin metabolism_pathophysiology.pptx
Hemoglobin metabolism_pathophysiology.pptxHemoglobin metabolism_pathophysiology.pptx
Hemoglobin metabolism_pathophysiology.pptx
muralinath2
 
DERIVATION OF MODIFIED BERNOULLI EQUATION WITH VISCOUS EFFECTS AND TERMINAL V...
DERIVATION OF MODIFIED BERNOULLI EQUATION WITH VISCOUS EFFECTS AND TERMINAL V...DERIVATION OF MODIFIED BERNOULLI EQUATION WITH VISCOUS EFFECTS AND TERMINAL V...
DERIVATION OF MODIFIED BERNOULLI EQUATION WITH VISCOUS EFFECTS AND TERMINAL V...
Wasswaderrick3
 
GBSN - Microbiology (Lab 4) Culture Media
GBSN - Microbiology (Lab 4) Culture MediaGBSN - Microbiology (Lab 4) Culture Media
GBSN - Microbiology (Lab 4) Culture Media
Areesha Ahmad
 
platelets_clotting_biogenesis.clot retractionpptx
platelets_clotting_biogenesis.clot retractionpptxplatelets_clotting_biogenesis.clot retractionpptx
platelets_clotting_biogenesis.clot retractionpptx
muralinath2
 
GBSN - Biochemistry (Unit 5) Chemistry of Lipids
GBSN - Biochemistry (Unit 5) Chemistry of LipidsGBSN - Biochemistry (Unit 5) Chemistry of Lipids
GBSN - Biochemistry (Unit 5) Chemistry of Lipids
Areesha Ahmad
 
in vitro propagation of plants lecture note.pptx
in vitro propagation of plants lecture note.pptxin vitro propagation of plants lecture note.pptx
in vitro propagation of plants lecture note.pptx
yusufzako14
 
Observation of Io’s Resurfacing via Plume Deposition Using Ground-based Adapt...
Observation of Io’s Resurfacing via Plume Deposition Using Ground-based Adapt...Observation of Io’s Resurfacing via Plume Deposition Using Ground-based Adapt...
Observation of Io’s Resurfacing via Plume Deposition Using Ground-based Adapt...
Sérgio Sacani
 

Recently uploaded (20)

In silico drugs analogue design: novobiocin analogues.pptx
In silico drugs analogue design: novobiocin analogues.pptxIn silico drugs analogue design: novobiocin analogues.pptx
In silico drugs analogue design: novobiocin analogues.pptx
 
Orion Air Quality Monitoring Systems - CWS
Orion Air Quality Monitoring Systems - CWSOrion Air Quality Monitoring Systems - CWS
Orion Air Quality Monitoring Systems - CWS
 
extra-chromosomal-inheritance[1].pptx.pdfpdf
extra-chromosomal-inheritance[1].pptx.pdfpdfextra-chromosomal-inheritance[1].pptx.pdfpdf
extra-chromosomal-inheritance[1].pptx.pdfpdf
 
Comparative structure of adrenal gland in vertebrates
Comparative structure of adrenal gland in vertebratesComparative structure of adrenal gland in vertebrates
Comparative structure of adrenal gland in vertebrates
 
What is greenhouse gasses and how many gasses are there to affect the Earth.
What is greenhouse gasses and how many gasses are there to affect the Earth.What is greenhouse gasses and how many gasses are there to affect the Earth.
What is greenhouse gasses and how many gasses are there to affect the Earth.
 
BLOOD AND BLOOD COMPONENT- introduction to blood physiology
BLOOD AND BLOOD COMPONENT- introduction to blood physiologyBLOOD AND BLOOD COMPONENT- introduction to blood physiology
BLOOD AND BLOOD COMPONENT- introduction to blood physiology
 
general properties of oerganologametal.ppt
general properties of oerganologametal.pptgeneral properties of oerganologametal.ppt
general properties of oerganologametal.ppt
 
(May 29th, 2024) Advancements in Intravital Microscopy- Insights for Preclini...
(May 29th, 2024) Advancements in Intravital Microscopy- Insights for Preclini...(May 29th, 2024) Advancements in Intravital Microscopy- Insights for Preclini...
(May 29th, 2024) Advancements in Intravital Microscopy- Insights for Preclini...
 
Unveiling the Energy Potential of Marshmallow Deposits.pdf
Unveiling the Energy Potential of Marshmallow Deposits.pdfUnveiling the Energy Potential of Marshmallow Deposits.pdf
Unveiling the Energy Potential of Marshmallow Deposits.pdf
 
Nucleic Acid-its structural and functional complexity.
Nucleic Acid-its structural and functional complexity.Nucleic Acid-its structural and functional complexity.
Nucleic Acid-its structural and functional complexity.
 
Chapter 12 - climate change and the energy crisis
Chapter 12 - climate change and the energy crisisChapter 12 - climate change and the energy crisis
Chapter 12 - climate change and the energy crisis
 
Mammalian Pineal Body Structure and Also Functions
Mammalian Pineal Body Structure and Also FunctionsMammalian Pineal Body Structure and Also Functions
Mammalian Pineal Body Structure and Also Functions
 
nodule formation by alisha dewangan.pptx
nodule formation by alisha dewangan.pptxnodule formation by alisha dewangan.pptx
nodule formation by alisha dewangan.pptx
 
Hemoglobin metabolism_pathophysiology.pptx
Hemoglobin metabolism_pathophysiology.pptxHemoglobin metabolism_pathophysiology.pptx
Hemoglobin metabolism_pathophysiology.pptx
 
DERIVATION OF MODIFIED BERNOULLI EQUATION WITH VISCOUS EFFECTS AND TERMINAL V...
DERIVATION OF MODIFIED BERNOULLI EQUATION WITH VISCOUS EFFECTS AND TERMINAL V...DERIVATION OF MODIFIED BERNOULLI EQUATION WITH VISCOUS EFFECTS AND TERMINAL V...
DERIVATION OF MODIFIED BERNOULLI EQUATION WITH VISCOUS EFFECTS AND TERMINAL V...
 
GBSN - Microbiology (Lab 4) Culture Media
GBSN - Microbiology (Lab 4) Culture MediaGBSN - Microbiology (Lab 4) Culture Media
GBSN - Microbiology (Lab 4) Culture Media
 
platelets_clotting_biogenesis.clot retractionpptx
platelets_clotting_biogenesis.clot retractionpptxplatelets_clotting_biogenesis.clot retractionpptx
platelets_clotting_biogenesis.clot retractionpptx
 
GBSN - Biochemistry (Unit 5) Chemistry of Lipids
GBSN - Biochemistry (Unit 5) Chemistry of LipidsGBSN - Biochemistry (Unit 5) Chemistry of Lipids
GBSN - Biochemistry (Unit 5) Chemistry of Lipids
 
in vitro propagation of plants lecture note.pptx
in vitro propagation of plants lecture note.pptxin vitro propagation of plants lecture note.pptx
in vitro propagation of plants lecture note.pptx
 
Observation of Io’s Resurfacing via Plume Deposition Using Ground-based Adapt...
Observation of Io’s Resurfacing via Plume Deposition Using Ground-based Adapt...Observation of Io’s Resurfacing via Plume Deposition Using Ground-based Adapt...
Observation of Io’s Resurfacing via Plume Deposition Using Ground-based Adapt...
 

Mentor manual

  • 1. 1 CMOS Design Lab Manual Developed By University Program Team CoreEl Technologies (I) Pvt. Ltd.
  • 2. 2 Objective Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the Full Custom IC design cycle. You will finish the lab by running DRC, LVS and Parasitic Extraction on the various designs. In the process you will create various components like inverter, NAND gate, XOR gate, Full adder, Latch, SRAM register cell and PLL, differential amplifier. You will start the lab by creating a schematic and will attach the technology library called “GDK 130nm( generic 13)”. Adding a technology library will ensure that you can do front to back design. You will create a new cell called “Inverter” with schematic view and hence build the inverter schematic by instantiating various components. Once inverter schematic is done, symbol for “Inverter” is generated. Now you will create a new cell view called “Inverter_sim”, where you will instantiate “Inverter” symbol. This circuit is verified by doing various simulations using ELDO. In the process, you will learn to use EZviewer, waveform window options, waveform calculator, etc... You will learn the Pyxis Layout Editor basics by concentrating on designing an “Inverter” through automatic layout generation. Then you will go ahead with completing the other layouts,generating GDSII file. After that, by taking GDSII file as reference you will run DRC, LVS checkson the layout, Extract parasitic and back-annotate them to the simulation environment.
  • 3. 3 Introduction: This document gives an overview of CMOS design using Mentor graphics Tools. There are five basic steps: 1. Design the schematic in Pyxis schematic. 2. Simulate the schematic using ELDO / AMS. 3. Physical design using Pyxis Layout. 4. Perform Physical Verification using Calibre which includes DRC, LVS and PEX. 5. Back annotation of parasitics into the schematic for post layout simulation.
  • 4. 4 Invoking Mentor tools: • Right click on the desktop and select open in terminal • Type csh and press enter. • Type source /home/software/cshrc/ams_2009.cshrc which will invoke the mentor tools environment. • Type dmgr_ic & and press enter then pyxis project manager window will be invoked as shown below.
  • 5. 5 Creating a Project: • To create a new project click on File new project which invokes the new project window as shown • Browse on the folder and specify the project path as shown below
  • 6. 6 • After browsing the folder to the specific location give the project name as shown and click on OK • Next technology libraries have to be added to the project. In order to add the technology files browse on the folder as shown
  • 7. 7 • Navigate to /home/software/FOUNDRY/GDK/Pyxis_SPT_HEP /ic_reflibs/tech_libs and select the generic13 file and click on OK • Again click on OK then manage external/logic libraries window will pop up as shown
  • 8. 8 • Click on the Add Standard Libraries • Then the libraries will be added up as shown below and click on OK • Then the pyxis project manager window will be shown where the technology libraries are added to the project and are placed below the project name
  • 9. 9
  • 10. 10 Creating a Library: • To create a library right click on the project name and select new library or click on the icon on the icon bar • Then a new library window will pop up asking for the library name. Next name the library and click on OK.
  • 11. 11 Creating a Schematic cell view: • To create a schematic cell view, a new cell has to be created in which new schematic has to be defined. In order to create new cell right click on the manual library below the project name and select new cell or select the library and click on the icon in the icon bar. • Then a new cell window will pop up asking for the cell name in which give the cell name and click OK • To create a schematic in the cell,right click on the cell name and select new schematic or click on the new cell and select the icon in the icon bar
  • 12. 12 • A window will pop up asking for the schematic name Now name the schematic and click on OK which in turn leads to the pyxis schematic editor window as shown
  • 13. 13 Creating a Schematic: In this section you will become familiar with placing primitive analog devices for a inverter. You’ll learn how to: • place primitives on the schematic • select and manipulate devices • customizing hotkeys for placing devices • route devices • edit device parameter values • name instances • check and save the schematic • create upper hierarchical symbols • create test bench • simulate using Eldo • view results Creating an Inverter: Placing devices: • From the left icon bar press on add instance icon or press 'I'
  • 14. 14 • Then a file browser which contains entire libraries will pop up as shown • Next click on the double click on generic13 in the library list And then follow the path to select pmos from $generic13/symbols/pmos as shown
  • 15. 15 • Select the pmos and click on OK to place the pmos on the workspace as shown
  • 16. 16 Changing device properties In order to change the properties of the devices on the workspace click on the then the corresponding device properties will be shown in the object editor as shown. Now enter the prescribed value in the field provided and press enter .Then the value will be changed .Here the width has been set to 0.15u and length to 0.13u. • Similarly select the nmos and change the W=0.13u,L=0.15u and the schematic would look as follows
  • 17. 17 Adding the ports and connecting the devices • In the similar way select the VDD and ground from the generic lib or click on the library in the layer palatte window then layer palatte will be shown as ic library window. Then select generic library and place VDD and ground
  • 18. 18 • Place in IN and OUT ports in a similar way as above from the generic library or click on the add ports icon in the left icon bar and connect the circuit • Then the schematic would look as follows For changing the port names click on the port and change the net name in the object editor to the required name which is shown below.
  • 19. 19 To change the name, enter the name in the field given for the net name and press enter. Then the schematic will be as shown • Next click on check/save icon in the icon bar
  • 20. 20 This will result to an window which shows the error report where the errors and warnings in the schematic can be seen
  • 21. 21 Generating a symbol: • To generate a symbol select Add in the menu bar and then select generate symbol from pull down menu bar Add --> generate symbol • A generate symbol will pop up as shown Here check activate symbol as shown above. If you want set shape of the symbol select it from choose shape. Then click on OK which leads to the pyxis symbol window.
  • 22. 22 • Next click on check/save icon in the icon bar Creating a Test bench • To create a test bench close the pyxis schematic and symbol windows and go back to pyxis project manager window. In the project manager window to create new cell right click on the manual library below the project name and select new cell or select the library and click on the icon in the icon bar • Then a new cell window will pop up asking for the cell name in which give the cell name and click OK
  • 23. 23 • Here the test bench cell name has been specified as inv_tb. • Right click on the test bench cell and select new schematic which in turn opens pyxis schematic editor window • Now instantiate the new inverter symbol by selecting Add > Instance from the left icon Palette or pressing the hot key i. Select the Symbol view of the inverter cell from the inv cell of the manual library
  • 24. 24 Place the symbol on the work space as shown • Add the IN and OUT net as before by selecting the hot key i. Name the nets with hot key “q”. • Add VDD and Ground ports in a similar fashion.
  • 25. 25 • Add a DC voltage source dc_v_source, from the MGC_IC_SOURCES_LIB. Change the value of the DC property to be 3.3 V. Add PULSE voltage source pulse_v_source and change the value of the pulse_value property to be 3.3 V also change the delay to be 0S. Finally the circuit looks like the following • Next click on check/save icon in the icon bar This will result to an window which shows the error report where the errors and warnings in the symbol can be seen. Simulating the schematic: Simulating test bench • When you have no errors select the Simulation icon from the left icon palette to go into design context and simulate our design or select context in the menu bar and select run simulation.
  • 26. 26 • Click ok when this form appears. Now you are in the Design context and need to setup the analysis type, plots and load in the Eldo models • In the design context from menu bar select Simulation-> setup simulation or click on setup simulation icon in the left icon palatte.
  • 27. 27 • A set up simulation window will pop up as shown
  • 28. 28 To set up analysis select analysis in the simulation panel and in the analysis setup select the required analysis and set the values of the analysis in the beside window as shown above Here I have selected the transient analysis with the start time as 0ns, stop time=100ns and print timestep=5ns as shown. After specifying the values click on apply
  • 29. 29 • To probe the waveforms, click on the outputs in the selection panel ,then select the input port of the schematic in the pyxis schematic window as shown. • In the setup simulation window , click Add button then the port will be added to the waveform as shown similarly add all the waveforms required to see in the ezwave.
  • 30. 30 • To add the power plot select the symbol in simulation schematic, click on outputs it opens simulation setup. Here we have to select Analysis -> TRAN Task -> Plot Type -> Power • After adding the analysis, eldo models and probing waveforms minimize the setup simulation window and run the simulator.To run the simulation select from the left icon palette or select simulate-> run simulation View the simulation results by selecting the plot results from latest run icon from the left icon palatte. This will open EZWave for you with the output waveforms. This is how the waveforms look like after zooming
  • 31. 31 Click on Measurement tool in the icon bar which opens up the measurement tool window where we can measure the different properties of your waveforms Delay measurement : In order to measure delay select the Measurement tool in Ezwave window and select the Below options Measurement -> Delay Waveform(#1) -> select V(A) and add selected waveform Waveform(#2) -> select V(Y) and add selected waveform In Measurement setup select Waveform(#2) Edge Relationship : Inverting Enable the Find Closest reference edge option. and click ok. This process shows you how to measure the delay between input and output.
  • 32. 32 DC Analysis: • For adding DC analysis click on Analysis button in palette pane of simulation environment, enable the DC option as displayed below, click apply and ok. • Now select the input and output nets in simulation schematic and click on outputs button and select the following options for DC analysis outputs Analysis -> DC Task -> Plot Type -> Voltage then click on add button and click ok.
  • 33. 33 After adding the outputs click on Run ELDO (the log should display netlist completed successfully and Simulation completed successfully). Then click on View waves to visualise the DC analysis results. Now use Add Cursor option to visualise the switching voltage of the design.
  • 34. 34 Creating a layout: • To create a layout select inv cell ,right click on the cell and select new layout • A new window named New layout will pop up, here name the layout as shown and click Ok
  • 35. 35 Pyxis layout window will be invoked with a new layout sub window in it nd keep the settings as shown and click on OK Click restore button and click on MGC --> setup and select left right tiling and click ok Restore button
  • 36. 36 Creating SDL: • Make the Schematic window active by selecting it with the LMB. Select the PMOS and press on the Pick & Place icon from SDL tool bar on the Icon bar. The tool will place the device on the Workspace of IC layout window. Similarly select the NMOS and place it on the workspace Note: To make SDL toolbar active, goto setup->SDL. Creating SDL: • Make the Schematic window active by selecting it with the LMB.. Select PMOS schematic and click on Inst option in palette area and place the automatically generated transistor layout in layout window, similarly select the NMOS and place it on the workspace • After adding the layouts of transistor, select any one of the net in schematic window and click on inst and then on port, now move your cursor towards layout window and place the ports one by one. • now the layout window should look like below.
  • 37. 37 • Now expand the layout window and draw the layout as specified below 1. select the layer POLYG from the layer Palette window and select Easyedit -> shape from IC palette and connect the gates of NMOS and PMOS transistors as displayed below. 2. Select the option Tools from the top row menu and select IRoute and interconnect PMOS and NMOS transistor as displayed below ( press 'w' to change the width of the metal track and specify width as 0.26).
  • 38. 38 3. Connect the output port to the metal track using Iroute 4. Create VDD Plane and GND plane using Metal-1 layer . Select metal-1 in layer palette and choose easyedit -> shape from ic palette and draw planes as shown below and place the VDD and GND ports in the respective planes 5. Connect the Source of PMOS transistor to VDD plane and Drain of NMOS transistor to GND plane using Iroute ( keep the width as 0.26).
  • 39. 39 6. To connect the Input port to poly layer ( poly to metal contact) we have to follow below steps a. extend the poly region ( approx 0.5x0.5) and draw a contact to poly by selecting CO layer on Layer palette and select easy edit and shape draw exactly 0.16x0.16 of CO layer in the extended poly region. b. now draw metal-1 layer over the CO layer to the input port as shown below 7. To add N-well contacts select the VDD plane in layout area, click on DLA Layout in IC palette and click on via (right arrow) and select fill selected and select "m1nwell" in IC device shape via window and click ok 8. To add P-well contacts select the GND plane in layout area, click on DLA Layout in IC palette and click on via (right arrow) and select fill selected and select "m1psub" in IC device shape via window and click ok 9. this finishes the layout for Inverter, Now select Add (from top row) and select add text on ports and click ok. this should add the names on the I/O ports.
  • 40. 40 Physical Verification of a Layout: Now you can verify the layout by running DRC and LVS checks. we will run Calibre Interactive Running Calibre Interactive DRC • In the pyxis layout window, Select Tools Calibre Run DRC • This will bring up the Calibre Interactive - DRC Note: Make sure the tabs named Rules,Inputs,Outputs,Run control should be green in color as shown above which ensures the paths specified are correct. Otherwise paths have to be changed . • Select Run DRC in the Calibre Interactive window • The Calibre RVE window will popup and you should see the following results
  • 41. 41 Here the error is due to the percentage of the polysilicon. It requires polyarea coverage of 14%which is not possible in the smaller circuits. So you can ignore that error. The error in the layout will be highlighted as shown in the fig once if you select on the error
  • 42. 42 Running the LVS • Select Run LVS in the caliber interactive window shown above • Calibre RVE window will popup and you should see results similar to this • If the comparison is wrong click on the comparison results in the RVE window, which shows the results and select schematics then the netlists will be displayed as shown • Select Tools > Calibre > Run LVS entry from the pull down menu. • The Calibre Interactive - LVS window will popup. the Inputs and Netlist tabs are active as shown.
  • 43. 43 Click on the blocks of the Netlist of the schematic and layout which yields the circuits from you can verify the connections and ports name and avoid the incorrect LVS Running Calibre Interactive PEX • Select Tools Calibre > Run PEX entery from the pull down menu • The Calibre Interactive - PEX window will popup. Make sure Export from schematic viewer is selected while the Inputs and Netlist ( if the netlist file is in red colour browse and select inv.calibre.src.net file)tabs are active as shown • Choose the output netlist to be in DSPF Format and extraction type to R+C as in the figure below
  • 44. 44 • Save the Netlist file as inv.pex.dspf in any location of your computer • Select start RVE from caliber interactive pex. • Calibre RVE window will pop up select parasitics in the navigator then extraction results will be shown as shown below • Select Run PEX • The PEX Netlist file windows will be invoked as shown
  • 45. 45 • Double click on the port name then the parasitic values will be shown • Double click on the value, then corresponding value will be highlighted in the layout as shown
  • 46. 46 • Open the test bench schematic and enter the simulation mode, then select the inverter block • A window named Add DSPF will pop up where browse on the folder to the saved Netlist inv.pex.dspf and click OK as shown. • After adding the .dspf file click on Run Eldo ( should get simulation completed successfully) • Click on View waves to visulalise the post layout simulation.
  • 47. 47 Using Measurement tools we can measure all the parameters of the input and output signals.
  • 48. 48 AIM: To design and simulate the CMOS NAND gate TOOLS: Pyxis Schematic, Pyxis Layout, Eldo, Ezwave, Calibre. CIRCUIT DIAGRAM: SIMULATION CIRCUIT: PROCEDURE: 1. Connect the Circuit as shown in the circuit diagram using Pyxis schematic. 2. Create a simulation schematic for simulation. 3. Add necessary nets in outputs to view waveforms. 4. Run the Simulation and observe results in EZwave. 5. Draw the Layout for the circuit using Pyxis Layout. 7. Run the physical verification (DRC, LVS, PEX) using Calibre tool . 8. Run the post layout simulation by adding the .dspf file generated in PEX. 9. Observe the post layout results.
  • 50. 50 AIM: To design and simulate the CMOS NOR gate TOOLS: Pyxis Schematic, Pyxis Layout, Eldo, Ezwave, Calibre. CIRCUIT DIAGRAM: SIMULATION CIRCUIT: PROCEDURE: 1. Connect the Circuit as shown in the circuit diagram using Pyxis schematic. 2. Create a simulation schematic for simulation. 3. Add necessary nets in outputs to view waveforms. 4. Run the Simulation and observe results in EZwave. 5. Draw the Layout for the circuit using Pyxis Layout. 7. Run the physical verification (DRC, LVS, PEX) using Calibre tool . 8. Run the post layout simulation by adding the .dspf file generated in PEX. 9. Observe the post layout results.
  • 52. 52 AIM: To design and simulate the CMOS EXOR gate TOOLS: Pyxis Schematic, Pyxis Layout, Eldo, Ezwave, Calibre. CIRCUIT DIAGRAM: SIMULATION CIRCUIT: PROCEDURE: 1. Connect the Circuit as shown in the circuit diagram using Pyxis schematic. 2. Create a simulation schematic for simulation. 3. Add necessary nets in outputs to view waveforms. 4. Run the Simulation and observe results in EZwave. 5. Draw the Layout for the circuit using Pyxis Layout. 7. Run the physical verification (DRC, LVS, PEX) using Calibre tool . 8. Run the post layout simulation by adding the .dspf file generated in PEX. 9. Observe the post layout results.
  • 54. 54 AIM: To design and simulate the CMOS Latch TOOLS: Pyxis Schematic, Pyxis Layout, Eldo, Ezwave, Calibre. CIRCUIT DIAGRAM: SIMULATION CIRCUIT: PROCEDURE: 1. Connect the Circuit as shown in the circuit diagram using Pyxis schematic. 2. Create a simulation schematic for simulation. 3. Add necessary nets in outputs to view waveforms. 4. Run the Simulation and observe results in EZwave. 5. Draw the Layout for the circuit using Pyxis Layout. 7. Run the physical verification (DRC, LVS, PEX) using Calibre tool . 8. Run the post layout simulation by adding the .dspf file generated in PEX. 9. Observe the post layout results.
  • 56. 56 AIM: To design and simulate the CMOS RAM cell TOOLS: Pyxis Schematic, Pyxis Layout, Eldo, Ezwave, Calibre. CIRCUIT DIAGRAM: SIMULATION CIRCUIT: PROCEDURE: 1. Connect the Circuit as shown in the circuit diagram using Pyxis schematic. 2. Create a simulation schematic for simulation. 3. Add necessary nets in outputs to view waveforms. 4. Run the Simulation and observe results in EZwave. 5. Draw the Layout for the circuit using Pyxis Layout. 7. Run the physical verification (DRC, LVS, PEX) using Calibre tool . 8. Run the post layout simulation by adding the .dspf file generated in PEX. 9. Observe the post layout results.
  • 58. 58 AIM: To design and simulate the CMOS 1 Bit Full Adder. TOOLS: Pyxis Schematic, Pyxis Layout, Eldo, Ezwave, Calibre. CIRCUIT DIAGRAM: SIMULATION CIRCUIT: PROCEDURE: 1. Connect the Circuit as shown in the circuit diagram using Pyxis schematic. 2. Create a simulation schematic for simulation. 3. Add necessary nets in outputs to view waveforms. 4. Run the Simulation and observe results in EZwave. 5. Draw the Layout for the circuit using Pyxis Layout. 7. Run the physical verification (DRC, LVS, PEX) using Calibre tool . 8. Run the post layout simulation by adding the .dspf file generated in PEX. 9. Observe the post layout results.
  • 60. 60 AIM: To design and simulate the Common Source Amplifier. TOOLS: Pyxis Schematic, Pyxis Layout, Eldo, Ezwave, Calibre. CIRCUIT DIAGRAM: SIMULATION CIRCUIT: PROCEDURE: 1. Connect the Circuit as shown in the circuit diagram using Pyxis schematic. 2. Create a simulation schematic for simulation. 3. Add necessary nets in outputs to view waveforms. 4. Run the Simulation and observe results in EZwave. 5. Draw the Layout for the circuit using Pyxis Layout. 7. Run the physical verification (DRC, LVS, PEX) using Calibre tool . 8. Run the post layout simulation by adding the .dspf file generated in PEX. 9. Observe the post layout results.
  • 61. 61 AC ANALYSIS: • Click on Simulation button form palette area and enter into simulation mode. • Select the analysis option and specify the below options as given in image. • Now select the input net and output net and click on Outputs button on palette area and add the below parameters Analaysis --> AC Type --> Voltage Task --> Plot Modifier --> Magnitude (dB) Click on OK again add one more output parameter for phase as below Analaysis --> AC Type --> Voltage Task --> Plot Modifier --> Phase Click ok • click on run eldo and view waves to see the results
  • 62. 62 RESULTS: AC Analysis result: Transient Analysis result: LAYOUT:
  • 63. 63 AIM: To design and simulate the Differential Amplifier. TOOLS: Pyxis Schematic, Pyxis Layout, Eldo, Ezwave, Calibre. CIRCUIT DIAGRAM: SIMULATION CIRCUIT:
  • 64. 64 PROCEDURE: 1. Connect the Circuit as shown in the circuit diagram using Pyxis schematic. 2. Create a simulation schematic for simulation. 3. Add necessary nets in outputs to view waveforms. 4. Run the Simulation and observe results in EZwave. 5. Draw the Layout for the circuit using Pyxis Layout. 7. Run the physical verification (DRC, LVS, PEX) using Calibre tool . 8. Run the post layout simulation by adding the .dspf file generated in PEX. 9. Observe the post layout results. RESULTS: AC Analysis result: Transient Analysis result: