International Journal of Engineering Research and Applications (IJERA) aims to cover the latest outstanding developments in the field of all Engineering Technologies & science.
International Journal of Engineering Research and Applications (IJERA) is a team of researchers not publication services or private publications running the journals for monetary benefits, we are association of scientists and academia who focus only on supporting authors who want to publish their work. The articles published in our journal can be accessed online, all the articles will be archived for real time access.
Our journal system primarily aims to bring out the research talent and the works done by sciaentists, academia, engineers, practitioners, scholars, post graduate students of engineering and science. This journal aims to cover the scientific research in a broader sense and not publishing a niche area of research facilitating researchers from various verticals to publish their papers. It is also aimed to provide a platform for the researchers to publish in a shorter of time, enabling them to continue further All articles published are freely available to scientific researchers in the Government agencies,educators and the general public. We are taking serious efforts to promote our journal across the globe in various ways, we are sure that our journal will act as a scientific platform for all researchers to publish their works online.
High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor G...iosrjce
IOSR journal of VLSI and Signal Processing (IOSRJVSP) is a double blind peer reviewed International Journal that publishes articles which contribute new results in all areas of VLSI Design & Signal Processing. The goal of this journal is to bring together researchers and practitioners from academia and industry to focus on advanced VLSI Design & Signal Processing concepts and establishing new collaborations in these areas.Design and realization of microelectronic systems using VLSI/ULSI technologies require close collaboration among scientists and engineers in the fields of systems architecture, logic and circuit design, chips and wafer fabrication, packaging, testing and systems applications. Generation of specifications, design and verification must be performed at all abstraction levels, including the system, register-transfer, logic, circuit, transistor and process levels.
Iaetsd design and analysis of low-leakage high-speedIaetsd Iaetsd
This document summarizes and compares different domino circuit designs for wide fan-in OR gates. It describes 8 different domino circuit techniques: 1) Standard Footless Domino, 2) Conditional-Keeper Domino, 3) High-Speed Domino, 4) Leakage Current Replica Keeper Domino, 5) Controlled Keeper by Current-Comparison Domino, 6) Diode Footed Domino, 7) Diode-Partitioned Domino, and 8) Wide Fan-In OR gate Current Comparison Domino. It simulates these circuits in 180nm, 130nm, and 90nm technologies and compares their power, propagation delay, energy, and energy-delay product performance.
250 MHz Multiphase Delay Locked Loop for Low Power Applications IJECEIAES
This document summarizes a research paper that proposes a 250 MHz multiphase delay locked loop (DLL) for low power applications. The DLL is implemented using a 0.18um CMOS technology and operates at 1.8V with a power consumption of 1.39mW at 125MHz center frequency and locking range of 0.5MHz to 250MHz. Key components of the DLL include a modified true single phase clock phase frequency detector, a charge pump and second order loop filter, and a voltage controlled delay line consisting of single ended differential pair delay cells. Simulation results show the DLL provides proper clock synchronization with negligible phase error between the reference clock and DLL output clocks.
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITAnil Yadav
This document discusses sources of power consumption in digital CMOS circuits and techniques for low power VLSI design at the circuit level. It covers the four main sources of power consumption: leakage power, short-circuit power, static power, and switching power. It then discusses various low power design techniques at the circuit level, including transistor and gate sizing, equivalent pin ordering, network restructuring, transistor network partitioning, and low power flip-flop designs. The goal is to optimize power consumption through techniques like minimizing switching activity, reducing capacitive loads, and optimizing transistor sizing.
Energy efficient and high speed domino logic circuitsIJERA Editor
Domino CMOS circuit family finds a wide variety of application in microprocessors due to low device count and high speed.In this paper, various conventional and proposed designs for low leakage and high speed wide fan-in domino circuits are reviewed. The techniques used in the paper reduces the total power dissipation and delay by 25% and 58% respectively as compared to the conventional footed domino logic circuit. Simulations are performed on tanner tool at 65nm technology for 16 input OR gate.
The Analysis of Dead Time on Switching Loss in High and Low Side MOSFETs of Z...IDES Editor
This work is about the analysis of dead time variation
on switching losses in a Zero Voltage Switching (ZVS)
synchronous buck converter (SBC) circuit. In high frequency
converter circuits, switching losses are commonly linked with
high and low side switches of SBC circuit. They are activated
externally by the gate driver circuit. The duty ratio, dead time
and resonant inductor are the parameters that affect the
efficiency of the circuit. These variables can be adjusted for
the optimization purposes. The study primarily focuses on
varying the settings of input pulses of the MOSFETs in the
resonant gate driver circuit which consequently affects the
performance of the ZVS synchronous buck converter circuit.
Using the predetermined inductor of 9 nH, the frequency is
maintained at 1 MHz for each cycle transition. The switching
loss graph is obtained and switching losses for both S1 and S2
are calculated and compared to the findings from previous
work. It has shown a decrease in losses by 13.8 % in S1. A dead
time of 15 ns has been determined to be optimized value in
the SBC design.
Optimization of Digitally Controlled Oscillator with Low Poweriosrjce
IOSR journal of VLSI and Signal Processing (IOSRJVSP) is a double blind peer reviewed International Journal that publishes articles which contribute new results in all areas of VLSI Design & Signal Processing. The goal of this journal is to bring together researchers and practitioners from academia and industry to focus on advanced VLSI Design & Signal Processing concepts and establishing new collaborations in these areas.
Design and realization of microelectronic systems using VLSI/ULSI technologies require close collaboration among scientists and engineers in the fields of systems architecture, logic and circuit design, chips and wafer fabrication, packaging, testing and systems applications. Generation of specifications, design and verification must be performed at all abstraction levels, including the system, register-transfer, logic, circuit, transistor and process levels
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...IJMER
In the nanometer range design technologies static power consumption is very important
issue in present peripheral devices. In the CMOS based VLSI circuits technology is scaling towards
down in respect of size and achieving higher operating speeds. We have also considered these
parameters such that we can control the leakage power. As process model design are getting smaller
the density of device increases and threshold voltage as well as oxide thickness decrease to maintain
the device performance. In this article two novel circuit techniques for reduction leakage current in
NAND and NOR inverters using novel sleepy and sleepy property are investigated. We have proposed a
design model that has significant reduction in power dissipation during inactive (standby) mode of
operation compared to classical power gating methods for these circuit techniques. The proposed
circuit techniques are applied to NAND and NOR inverters and the results are compared with earlier
inverter leakage minimization techniques. All low leakage models of inverters are designed and
simulated in Tanner Tool environment using 65 nm CMOS Technology (1volt) technologies. Average
power, Leakage power, sleep transistor
High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor G...iosrjce
IOSR journal of VLSI and Signal Processing (IOSRJVSP) is a double blind peer reviewed International Journal that publishes articles which contribute new results in all areas of VLSI Design & Signal Processing. The goal of this journal is to bring together researchers and practitioners from academia and industry to focus on advanced VLSI Design & Signal Processing concepts and establishing new collaborations in these areas.Design and realization of microelectronic systems using VLSI/ULSI technologies require close collaboration among scientists and engineers in the fields of systems architecture, logic and circuit design, chips and wafer fabrication, packaging, testing and systems applications. Generation of specifications, design and verification must be performed at all abstraction levels, including the system, register-transfer, logic, circuit, transistor and process levels.
Iaetsd design and analysis of low-leakage high-speedIaetsd Iaetsd
This document summarizes and compares different domino circuit designs for wide fan-in OR gates. It describes 8 different domino circuit techniques: 1) Standard Footless Domino, 2) Conditional-Keeper Domino, 3) High-Speed Domino, 4) Leakage Current Replica Keeper Domino, 5) Controlled Keeper by Current-Comparison Domino, 6) Diode Footed Domino, 7) Diode-Partitioned Domino, and 8) Wide Fan-In OR gate Current Comparison Domino. It simulates these circuits in 180nm, 130nm, and 90nm technologies and compares their power, propagation delay, energy, and energy-delay product performance.
250 MHz Multiphase Delay Locked Loop for Low Power Applications IJECEIAES
This document summarizes a research paper that proposes a 250 MHz multiphase delay locked loop (DLL) for low power applications. The DLL is implemented using a 0.18um CMOS technology and operates at 1.8V with a power consumption of 1.39mW at 125MHz center frequency and locking range of 0.5MHz to 250MHz. Key components of the DLL include a modified true single phase clock phase frequency detector, a charge pump and second order loop filter, and a voltage controlled delay line consisting of single ended differential pair delay cells. Simulation results show the DLL provides proper clock synchronization with negligible phase error between the reference clock and DLL output clocks.
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITAnil Yadav
This document discusses sources of power consumption in digital CMOS circuits and techniques for low power VLSI design at the circuit level. It covers the four main sources of power consumption: leakage power, short-circuit power, static power, and switching power. It then discusses various low power design techniques at the circuit level, including transistor and gate sizing, equivalent pin ordering, network restructuring, transistor network partitioning, and low power flip-flop designs. The goal is to optimize power consumption through techniques like minimizing switching activity, reducing capacitive loads, and optimizing transistor sizing.
Energy efficient and high speed domino logic circuitsIJERA Editor
Domino CMOS circuit family finds a wide variety of application in microprocessors due to low device count and high speed.In this paper, various conventional and proposed designs for low leakage and high speed wide fan-in domino circuits are reviewed. The techniques used in the paper reduces the total power dissipation and delay by 25% and 58% respectively as compared to the conventional footed domino logic circuit. Simulations are performed on tanner tool at 65nm technology for 16 input OR gate.
The Analysis of Dead Time on Switching Loss in High and Low Side MOSFETs of Z...IDES Editor
This work is about the analysis of dead time variation
on switching losses in a Zero Voltage Switching (ZVS)
synchronous buck converter (SBC) circuit. In high frequency
converter circuits, switching losses are commonly linked with
high and low side switches of SBC circuit. They are activated
externally by the gate driver circuit. The duty ratio, dead time
and resonant inductor are the parameters that affect the
efficiency of the circuit. These variables can be adjusted for
the optimization purposes. The study primarily focuses on
varying the settings of input pulses of the MOSFETs in the
resonant gate driver circuit which consequently affects the
performance of the ZVS synchronous buck converter circuit.
Using the predetermined inductor of 9 nH, the frequency is
maintained at 1 MHz for each cycle transition. The switching
loss graph is obtained and switching losses for both S1 and S2
are calculated and compared to the findings from previous
work. It has shown a decrease in losses by 13.8 % in S1. A dead
time of 15 ns has been determined to be optimized value in
the SBC design.
Optimization of Digitally Controlled Oscillator with Low Poweriosrjce
IOSR journal of VLSI and Signal Processing (IOSRJVSP) is a double blind peer reviewed International Journal that publishes articles which contribute new results in all areas of VLSI Design & Signal Processing. The goal of this journal is to bring together researchers and practitioners from academia and industry to focus on advanced VLSI Design & Signal Processing concepts and establishing new collaborations in these areas.
Design and realization of microelectronic systems using VLSI/ULSI technologies require close collaboration among scientists and engineers in the fields of systems architecture, logic and circuit design, chips and wafer fabrication, packaging, testing and systems applications. Generation of specifications, design and verification must be performed at all abstraction levels, including the system, register-transfer, logic, circuit, transistor and process levels
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...IJMER
In the nanometer range design technologies static power consumption is very important
issue in present peripheral devices. In the CMOS based VLSI circuits technology is scaling towards
down in respect of size and achieving higher operating speeds. We have also considered these
parameters such that we can control the leakage power. As process model design are getting smaller
the density of device increases and threshold voltage as well as oxide thickness decrease to maintain
the device performance. In this article two novel circuit techniques for reduction leakage current in
NAND and NOR inverters using novel sleepy and sleepy property are investigated. We have proposed a
design model that has significant reduction in power dissipation during inactive (standby) mode of
operation compared to classical power gating methods for these circuit techniques. The proposed
circuit techniques are applied to NAND and NOR inverters and the results are compared with earlier
inverter leakage minimization techniques. All low leakage models of inverters are designed and
simulated in Tanner Tool environment using 65 nm CMOS Technology (1volt) technologies. Average
power, Leakage power, sleep transistor
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...IJSRD
This paper focus on the various sources of power dissipation in modern VLSI circuits. This paper also discuss the importance of designing low power VLSI circuits along with various techniques of power reduction and its advantages and disadvantages. It is basically a comparative study between various power reduction techniques in modern VLSI circuits.
This document discusses power consumption in CMOS devices. It outlines the main sources of power dissipation including dynamic power, short circuit power, and static/leakage power. Dynamic power is proportional to the capacitive load and supply voltage. Short circuit power depends on the peak short circuit current. Static power includes leakage from reverse biased p-n junctions, subthreshold leakage, gate leakage, gate induced drain leakage, and punchthrough. The document discusses various techniques to reduce each component of power dissipation such as lowering supply voltage, increasing threshold voltage, and power gating.
The document discusses various techniques for reducing power consumption at different levels, from circuit-level optimizations like transistor sizing and voltage scaling, to logic synthesis techniques like clock gating and state encoding, to algorithm-level optimizations and architecturally-static pipelining supported by an optimizing compiler. It notes that power optimization is important for cost, dependability, and extending battery life, and that while proposed approaches show potential, accurate energy assessments of new techniques are still needed.
This document contains an outline for a project on building a black box system for a car. It includes chapters on embedded systems, transformers, microcontrollers, software used, and conclusions. The chapters cover topics like embedded system design cycles, ideal transformer equations, voltage regulators, rectifiers, filters, and the AT89S52 microcontroller's memory and UART. The document provides details on the various components and concepts involved in the project.
A high speed low power consumption d flip flop for high speed phase frequency...IAEME Publication
Phase Frequency Detector (PFD) and Frequency divider are indispensable modules of PLL,
which uses D flip-flop as an integral part. This paper focus on design of High-Speed, Low Power
Consumption D Flip-Flop for High Speed Phase Frequency Detector and Frequency divider. The
designed Frequency divider has been used in the divider counter of the phase locked loop. A divide
counter is required in the feedback loop to scales down the frequency of the VCO output signal. The
conventional and proposed D-Flip flop has been designed in UMC 180nm CMOS Technology with
supply voltage 1.8 using CADENCE spectre tool. Virtuoso Analog Design Environment tool of
Cadence have used to design and simulate schematic. This work has been used in the design of 2.4
GHz CMOS PLL targeting Frequency Multiplier application. The proposed D flip flop circuit is
faster than the conventional circuit as it has fast reset operation. The circuit consumes less power as
it prevents short circuit power consumption.
Low Power Design Techniques for ASIC / SOC DesignRajesh_navandar
1. Low power techniques aim to reduce both dynamic and static/leakage power in integrated circuits. Dynamic power is reduced through techniques like lowering supply voltage and clock frequency, while leakage power is reduced by increasing transistor threshold voltage.
2. Power gating is a widely used technique that temporarily turns off unused circuit blocks to drastically reduce leakage power. It requires additional power switches and isolation cells to safely turn blocks on and off.
3. Multi-threshold CMOS uses both low and high threshold voltage transistors optimized for performance and leakage respectively. Further scaling presents new challenges as leakage power becomes dominant.
Iaetsd glitch free nand based digitally controlled delay lines using low powerIaetsd Iaetsd
The document presents a glitch-free NAND-based digitally controlled delay line (DCDL) that uses a low power forced stack clocked pass transistor flip-flop (LP-FSCPTFF) as the driving circuit. The LP-FSCPTFF provides lower power consumption and delay than conventional dual edge triggered flip-flops. Simulation results show the proposed DCDL using LP-FSCPTFF reduces power by up to 90% compared to other low power flip-flop designs. The glitch-free DCDL can be used in phase locked loops to provide precise clock delays with better performance.
The document discusses low power techniques in nanometer technology. It describes that power consumption has two components - dynamic power during switching and static power due to leakage. It then explains various low power techniques like clock gating, multi Vdd, multiple threshold voltages, and power gating. Clock gating saves power by disabling clock signals when functionality is not required. Multi Vdd and dynamic voltage scaling apply different voltages optimized for different blocks or modes. Multiple threshold voltages and variable threshold use high and low threshold transistors to reduce leakage in idle states while maintaining performance.
A New Ultra Low-Power and Noise Tolerant Circuit Technique for CMOS Domino LogicIDES Editor
Dynamic logic style is used in high performance
circuit design because of its fast speed and less transistors
requirement as compared to CMOS logic style. But it is not
widely accepted for all types of circuit implementations due
to its less noise tolerance and charge sharing problems. A
small noise at the input of the dynamic logic can change the
desired output. Domino logic uses one static CMOS inverter
at the output of dynamic node which is more noise immune
and consuming very less power as compared to other proposed
circuit. In this paper we have proposed a novel circuit for
domino logic which has less noise at the output node and has
very less power-delay product (PDP) as compared to previous
reported articles. Low PDP is achieved by using semi-dynamic
logic buffer and also reducing leakage current when PDN is
not conducting. This paper also analyses the PDP of the circuit
at very low voltage and different W/L ratio of the transistors.
1. Power functionality cannot be easily verified without changing RTL code since power domains and constraints are not represented consistently across the design flow.
2. Tools from different vendors use different specifications for power management, making end-to-end verification and signoff difficult.
3. Constraints and intent for low power techniques like multi-VT, power gating, and DVFS cannot be validated without a common representation.
The document summarizes a presentation on power management in DC microgrids. It outlines the presenter's coursework credits and GPA. It then introduces the importance of ensuring dynamic stability in microgrids to achieve safe and reliable performance. The presentation covers various converter topologies used in DC microgrids, including the KY-boost, Sepic, and Cuk converters. State-space models and analyses of these converters are provided through equations and frequency response plots.
low pw and leakage current techniques for cmos circuitsAnamika Pancholi
This document discusses various techniques to reduce leakage power in CMOS circuits, including the sleep mode approach, stack mode approach, leakage feedback approach, sleepy stack approach, and sleepy keeper approach. It then proposes a new LECTOR technique which introduces two leakage control transistors between the pull-up and pull-down circuits to ensure that one transistor is always near cutoff, reducing leakage power dissipation. The document concludes that leakage reduction is important for VLSI circuit design as scaling continues, and that the LECTOR method is effective at reducing leakage power in both active and standby modes.
M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.Suchitra goudar
The document proposes designs for ternary logic gates based on single power supply voltage for CMOS technology. It describes the design of a simple ternary inverter (STI), negative ternary inverter (NTI), and positive ternary inverter (PTI) using only enhancement-type MOSFETs. Transistor widths and lengths are optimized to achieve the desired voltage transfer characteristics. Basic ternary logic gates including a ternary NAND (TNAND) and ternary NOR (TNOR) are also designed using a similar single-transistor approach. The proposed gate designs aim to reduce transistor count and power consumption compared to prior ternary logic designs.
This document discusses VLSI power architecture and optimization. It covers topics like VLSI design flow, RTL modeling, synthesis, power estimation, and power reduction techniques like clock gating. Clock gating is a major dynamic power reduction technique that gates the clock signal to avoid unnecessary toggling when the flop is not required to change state. New trends in clock gating leverage techniques like stability condition and observability don't care to further optimize clock gating.
Design of very large scale analog integrated circuit (analog VLSI) is very much complex and requires
much compromising nature to achieve application specific objective. With maximizing the efforts to reduce
power consumption and to reduce W/L ratio, the analog integrated circuit industry is constantly
developing smaller power supplies. Now days, challenges of analog integrated circuit designer are to
make block of small power supplies with little or no reduction in performance. The CMOS OTA is
designed in 25.5nm CMOS technology with 1.0V power supply to observe the configurations. In design of
CMOS OTA TANNER EDA TOOL is used. Coding and simulation is done in T-Spice and layout is
prepared in L-Edit. D.C analysis, A.C analysis, slew rate and analysis of transient response have been
done in T-Spice. Waveforms are observed in W-Edit.
A 2.4 ghz cmos lna input matching design using resistive feedback topology in...eSAT Publishing House
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
A 2.4 ghz cmos lna input matching design using resistive feedback topology in...eSAT Journals
This document describes the design of a 2.4 GHz CMOS low noise amplifier (LNA) using a resistive feedback topology in 0.13μm technology. A series inductor input matching network is used to provide input matching. Simulation results show the LNA has a voltage gain (S21) of 28dB, noise figure of 2.2dB, input return loss (S11) of -10.7dB, third order intercept point (IIP3) of -22.4dBm, while consuming 4.8mW of power from a 1.2V supply. The resistive feedback topology improves performance over other designs by increasing gain and reducing the chip area required.
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...IJSRD
This paper focus on the various sources of power dissipation in modern VLSI circuits. This paper also discuss the importance of designing low power VLSI circuits along with various techniques of power reduction and its advantages and disadvantages. It is basically a comparative study between various power reduction techniques in modern VLSI circuits.
This document discusses power consumption in CMOS devices. It outlines the main sources of power dissipation including dynamic power, short circuit power, and static/leakage power. Dynamic power is proportional to the capacitive load and supply voltage. Short circuit power depends on the peak short circuit current. Static power includes leakage from reverse biased p-n junctions, subthreshold leakage, gate leakage, gate induced drain leakage, and punchthrough. The document discusses various techniques to reduce each component of power dissipation such as lowering supply voltage, increasing threshold voltage, and power gating.
The document discusses various techniques for reducing power consumption at different levels, from circuit-level optimizations like transistor sizing and voltage scaling, to logic synthesis techniques like clock gating and state encoding, to algorithm-level optimizations and architecturally-static pipelining supported by an optimizing compiler. It notes that power optimization is important for cost, dependability, and extending battery life, and that while proposed approaches show potential, accurate energy assessments of new techniques are still needed.
This document contains an outline for a project on building a black box system for a car. It includes chapters on embedded systems, transformers, microcontrollers, software used, and conclusions. The chapters cover topics like embedded system design cycles, ideal transformer equations, voltage regulators, rectifiers, filters, and the AT89S52 microcontroller's memory and UART. The document provides details on the various components and concepts involved in the project.
A high speed low power consumption d flip flop for high speed phase frequency...IAEME Publication
Phase Frequency Detector (PFD) and Frequency divider are indispensable modules of PLL,
which uses D flip-flop as an integral part. This paper focus on design of High-Speed, Low Power
Consumption D Flip-Flop for High Speed Phase Frequency Detector and Frequency divider. The
designed Frequency divider has been used in the divider counter of the phase locked loop. A divide
counter is required in the feedback loop to scales down the frequency of the VCO output signal. The
conventional and proposed D-Flip flop has been designed in UMC 180nm CMOS Technology with
supply voltage 1.8 using CADENCE spectre tool. Virtuoso Analog Design Environment tool of
Cadence have used to design and simulate schematic. This work has been used in the design of 2.4
GHz CMOS PLL targeting Frequency Multiplier application. The proposed D flip flop circuit is
faster than the conventional circuit as it has fast reset operation. The circuit consumes less power as
it prevents short circuit power consumption.
Low Power Design Techniques for ASIC / SOC DesignRajesh_navandar
1. Low power techniques aim to reduce both dynamic and static/leakage power in integrated circuits. Dynamic power is reduced through techniques like lowering supply voltage and clock frequency, while leakage power is reduced by increasing transistor threshold voltage.
2. Power gating is a widely used technique that temporarily turns off unused circuit blocks to drastically reduce leakage power. It requires additional power switches and isolation cells to safely turn blocks on and off.
3. Multi-threshold CMOS uses both low and high threshold voltage transistors optimized for performance and leakage respectively. Further scaling presents new challenges as leakage power becomes dominant.
Iaetsd glitch free nand based digitally controlled delay lines using low powerIaetsd Iaetsd
The document presents a glitch-free NAND-based digitally controlled delay line (DCDL) that uses a low power forced stack clocked pass transistor flip-flop (LP-FSCPTFF) as the driving circuit. The LP-FSCPTFF provides lower power consumption and delay than conventional dual edge triggered flip-flops. Simulation results show the proposed DCDL using LP-FSCPTFF reduces power by up to 90% compared to other low power flip-flop designs. The glitch-free DCDL can be used in phase locked loops to provide precise clock delays with better performance.
The document discusses low power techniques in nanometer technology. It describes that power consumption has two components - dynamic power during switching and static power due to leakage. It then explains various low power techniques like clock gating, multi Vdd, multiple threshold voltages, and power gating. Clock gating saves power by disabling clock signals when functionality is not required. Multi Vdd and dynamic voltage scaling apply different voltages optimized for different blocks or modes. Multiple threshold voltages and variable threshold use high and low threshold transistors to reduce leakage in idle states while maintaining performance.
A New Ultra Low-Power and Noise Tolerant Circuit Technique for CMOS Domino LogicIDES Editor
Dynamic logic style is used in high performance
circuit design because of its fast speed and less transistors
requirement as compared to CMOS logic style. But it is not
widely accepted for all types of circuit implementations due
to its less noise tolerance and charge sharing problems. A
small noise at the input of the dynamic logic can change the
desired output. Domino logic uses one static CMOS inverter
at the output of dynamic node which is more noise immune
and consuming very less power as compared to other proposed
circuit. In this paper we have proposed a novel circuit for
domino logic which has less noise at the output node and has
very less power-delay product (PDP) as compared to previous
reported articles. Low PDP is achieved by using semi-dynamic
logic buffer and also reducing leakage current when PDN is
not conducting. This paper also analyses the PDP of the circuit
at very low voltage and different W/L ratio of the transistors.
1. Power functionality cannot be easily verified without changing RTL code since power domains and constraints are not represented consistently across the design flow.
2. Tools from different vendors use different specifications for power management, making end-to-end verification and signoff difficult.
3. Constraints and intent for low power techniques like multi-VT, power gating, and DVFS cannot be validated without a common representation.
The document summarizes a presentation on power management in DC microgrids. It outlines the presenter's coursework credits and GPA. It then introduces the importance of ensuring dynamic stability in microgrids to achieve safe and reliable performance. The presentation covers various converter topologies used in DC microgrids, including the KY-boost, Sepic, and Cuk converters. State-space models and analyses of these converters are provided through equations and frequency response plots.
low pw and leakage current techniques for cmos circuitsAnamika Pancholi
This document discusses various techniques to reduce leakage power in CMOS circuits, including the sleep mode approach, stack mode approach, leakage feedback approach, sleepy stack approach, and sleepy keeper approach. It then proposes a new LECTOR technique which introduces two leakage control transistors between the pull-up and pull-down circuits to ensure that one transistor is always near cutoff, reducing leakage power dissipation. The document concludes that leakage reduction is important for VLSI circuit design as scaling continues, and that the LECTOR method is effective at reducing leakage power in both active and standby modes.
M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.Suchitra goudar
The document proposes designs for ternary logic gates based on single power supply voltage for CMOS technology. It describes the design of a simple ternary inverter (STI), negative ternary inverter (NTI), and positive ternary inverter (PTI) using only enhancement-type MOSFETs. Transistor widths and lengths are optimized to achieve the desired voltage transfer characteristics. Basic ternary logic gates including a ternary NAND (TNAND) and ternary NOR (TNOR) are also designed using a similar single-transistor approach. The proposed gate designs aim to reduce transistor count and power consumption compared to prior ternary logic designs.
This document discusses VLSI power architecture and optimization. It covers topics like VLSI design flow, RTL modeling, synthesis, power estimation, and power reduction techniques like clock gating. Clock gating is a major dynamic power reduction technique that gates the clock signal to avoid unnecessary toggling when the flop is not required to change state. New trends in clock gating leverage techniques like stability condition and observability don't care to further optimize clock gating.
Design of very large scale analog integrated circuit (analog VLSI) is very much complex and requires
much compromising nature to achieve application specific objective. With maximizing the efforts to reduce
power consumption and to reduce W/L ratio, the analog integrated circuit industry is constantly
developing smaller power supplies. Now days, challenges of analog integrated circuit designer are to
make block of small power supplies with little or no reduction in performance. The CMOS OTA is
designed in 25.5nm CMOS technology with 1.0V power supply to observe the configurations. In design of
CMOS OTA TANNER EDA TOOL is used. Coding and simulation is done in T-Spice and layout is
prepared in L-Edit. D.C analysis, A.C analysis, slew rate and analysis of transient response have been
done in T-Spice. Waveforms are observed in W-Edit.
A 2.4 ghz cmos lna input matching design using resistive feedback topology in...eSAT Publishing House
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
A 2.4 ghz cmos lna input matching design using resistive feedback topology in...eSAT Journals
This document describes the design of a 2.4 GHz CMOS low noise amplifier (LNA) using a resistive feedback topology in 0.13μm technology. A series inductor input matching network is used to provide input matching. Simulation results show the LNA has a voltage gain (S21) of 28dB, noise figure of 2.2dB, input return loss (S11) of -10.7dB, third order intercept point (IIP3) of -22.4dBm, while consuming 4.8mW of power from a 1.2V supply. The resistive feedback topology improves performance over other designs by increasing gain and reducing the chip area required.
This document discusses clinical audits, which systematically review patient care against criteria to improve outcomes. Clinical audits compare current practices to standards to identify any gaps and drive improvements. They have been incorporated worldwide as part of clinical governance efforts since the 1990s. Some key points made include:
- Clinical audits can reduce risks, ensure cost-effectiveness, and improve patient care and outcomes.
- One of the earliest clinical audits was conducted by Florence Nightingale during the Crimean War, which significantly reduced mortality rates.
- Audits ask if standards are being followed correctly, while research asks if the right approach is being taken.
- Successful audits include clear, measurable criteria; objective data collection; analysis
The Six Highest Performing B2B Blog Post FormatsBarry Feldman
If your B2B blogging goals include earning social media shares and backlinks to boost your search rankings, this infographic lists the size best approaches.
1) The document discusses the opportunity for technology to improve organizational efficiency and transition economies into a "smart and clean world."
2) It argues that aggregate efficiency has stalled at around 22% for 30 years due to limitations of the Second Industrial Revolution, but that digitizing transport, energy, and communication through technologies like blockchain can help manage resources and increase efficiency.
3) Technologies like precision agriculture, cloud computing, robotics, and autonomous vehicles may allow for "dematerialization" and do more with fewer physical resources through effects like reduced waste and need for transportation/logistics infrastructure.
In this paper a review of the dynamic logic circuit design has been done as these circuits are used due to their high performance, high speed and less number of transistors in the circuit. The number of required transistors is lesser than the CMOS logic style. The OR dynamic logic style is not applicable as it has low noise tolerance at the dynamic stage which can change the output. Domino logic uses one static CMOS inverter at the output of dynamic node which is more noise immune and has less capacitance at the output node.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
A Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic StructureIJERA Editor
Various circuit design techniques has been presented to improve noise tolerance of the proposed CGS logic families. Noise in deep submicron technology limits the reliability and performance of ICs. The ANTE (Average Noise Threshold Energy) metric is used for the analysis of noise tolerance of proposed CGS. A 2-input NAND and NOR gate is designed by the proposed technique. Simulation results for a 2-input NAND gate at clock gated logic show that the proposed noise tolerant circuit achieves 1.79X ANTE improvement along with the reduction in leakage power. Continuous scaling of technology towards the manometer range significantly increases leakage current level and the effect of noise. This research can be further extended for performance optimization in terms of power, speed, area and noise immunity.
Ultra Low Power Design and High Speed Design of Domino Logic CircuitIJERA Editor
The tremendous success of the low-power designs of VLSI circuits over the past 50 years has significant change
in our life style. Integrated circuits are everywhere from computers to automobiles, from cell phones to home
appliances. Domino logic is a CMOS based evolution of the dynamic logic techniques based on either PMOS or
NMOS transistors. Dynamic logic circuits are used for their high performance, but their high noise and
extensive leakage has caused some problems for these circuits. Dynamic CMOS circuits are inherently less
resistant to noise than static CMOS circuits. In this paper we proposed different domino logic styles which
increases performance compared to existing domino logic styles. According to the simulations in cadence
virtuoso 65nm CMOS process, the proposed circuit shows the improvement of up thirty percent compared
existing domino logics.
High Speed Low Power CMOS Domino or Gate Design in 16nm Technologycsandit
Dynamic logic circuits provide more compact designs with faster switching speeds and low power consumption compared with the other CMOS design styles. This paper proposes a wide
fan-in circuit with increased switching speed and noise immunity. Speed is achieved by quickly removing the charge on the dynamic node during evaluation phase, compared to the other
circuits. The design also offers very less Power Delay Product (PDP). The design is exercised for 20% variation in supply voltage.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleCSCJournals
This document presents a study on implementing an extremely low power fifth-order FIR digital filter using sub-threshold source coupled logic (STSCL) in a 45nm CMOS process. STSCL allows logic gates to operate at sub-threshold voltage levels, enabling significantly lower power consumption compared to traditional CMOS implementations. The paper designs basic logic gates like XOR, OR, AND in STSCL. A fifth-order FIR filter with transposed direct form structure is implemented using the STSCL gates, with five-bit canonic signed digit multipliers for coefficient multiplication. Simulation results show the STSCL-based FIR filter achieves lower power-delay product than a comparable CMOS implementation, demonstrating the potential for STS
A novel approach for leakage power reduction techniques in 65nm technologiesVLSICS Design
The rapid progress in semiconductor technology have led the feature sizes of transistor to be shrunk there
by evolution of Deep Sub-Micron (DSM) technology; there by the extremely complex functionality is
enabled to be integrated on a single chip. In the growing market of mobile hand-held devices used all over
the world today, the battery-powered electronic system forms the backbone. To maximize the battery life,
the tremendous computational capacity of portable devices such as notebook computers, personal
communication devices (mobile phones, pocket PCs, PDAs), hearing aids and implantable pacemakers has
to be realized with very low power requirements. Leakage power consumption is one of the major technical
problem in DSM in CMOS circuit design. A comprehensive study and analysis of various leakage power
minimization techniques have been presented in this paper a novel Leakage reduction technique is
developed in Cadence virtuoso in 65nm regim with the combination of stack with sleepy keeper approach
with Low Vth & High Vth which reduces the Average Power with respect Basic Nand Gate 29.43%, 39.88%,
Force Stack 56.98, 63.01%, sleep transistor with Low Vth & High Vth 13.90, 26.61% & 33.03%, 75.24%
with respect to sleepy Keeper 93.70, 56.01% of Average Power is saved.
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIESVLSICS Design
The document presents a novel approach called "stacking with sleepy keeper" for reducing leakage power in 65nm CMOS technologies. It combines transistor stacking, sleep transistors, and sleepy keeper techniques. Simulation results show the proposed approach significantly reduces average and static power compared to basic NAND gates and other techniques. For a 2-input NAND gate, the proposed approach with high Vth transistors reduces average power by 97.32% and static power by 99.24% compared to a basic NAND gate. When implemented in an SRAM cell, the proposed approach also improves power, delay, and power-delay product metrics.
This document analyzes and compares different existing domino logic full adder circuits and proposes a new hybrid logic domino full adder circuit. It finds that the proposed circuit provides better performance in terms of area, power consumption, and number of transistors compared to existing circuits. The document also presents two applications of the proposed full adder circuit: a 1-bit ALU and a 2-bit comparator. All circuits are designed and simulated using DSCH and MICROWIND tools, and simulation results show that the proposed full adder and its applications reduce power consumption and area over previous designs.
ANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGYcscpconf
The low-power consumption with less delay time has become an important issue in the recent
trends of VLSI. In these days, the low power systems with high speed are highly preferable
everywhere. Designers need to understand how low-power techniques affect performance
attributes, and have to choose a set of techniques that are consistent with these attributes .The
main objective of this paper is to describe, how to achieve low power consumption with
approximately same delay time in a single circuit. In this paper, we make circuits with CMOS
and MTCMOS techniques and check out its power and delay characteristics. The circuits
designed using MTCMOS technique gives least power consumption.
All the pre-layout simulations have been performed at 250nm technology on tanner EDA tool.
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology csandit
The low-power consumption with less delay time has become an important issue in the recent
trends of VLSI. In these days, the low power systems with high speed are highly preferable
everywhere. Designers need to understand how low-power techniques affect performance
attributes, and have to choose a set of techniques that are consistent with these attributes .The
main objective of this paper is to describe, how to achieve low power consumption with
approximately same delay time in a single circuit. In this paper, we make circuits with CMOS
and MTCMOS techniques and check out its power and delay characteristics. The circuits
designed using MTCMOS technique gives least power consumption.
All the pre-layout simulations have been performed at 250nm technology on tanner EDA tool.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Design of complex arithmetic logic circuits considering ground noise, leakage current, active power and area is a challenging task in VLSI circuits. In this paper, a comparative analysis of high performance power gating schemes is done which minimizes the leakage power and provides a way to control the ground noise. The innovative power gating schemes such as stacking power gating , diode based stacking power gating are analyzed which minimizes the peak of ground noise in transition mode for deep submicron circuits. Further to evaluate the efficiency, the simulation has been done using such high performance power gating schemes. Leakage current comparison of NAND gate without power gating and with power gating scheme is done. Finally it is observed that the leakage current in standby mode is reduced by 80% over the conventional power gating. It is also found that in stacking power gating, the ground noise has been reduced by a small extent over the conventional power gating scheme. We have performed simulations using Tanner in a 180nm standard CMOS technology at room temperature with supply voltage of 2.5 V. Finally, a detailed comparative analysis has been carried out to measure the design efficiency of high performance power gating schemes. This analysis provides an effective road map for high performance digital circuit designers who are interested to work with low power application in deep submicron circuits.
This document outlines different types of MOS inverters used in integrated circuits. It discusses 7 main types: resistive load inverter, enhancement mode device (EMD) inverter, depletion mode device (DMD) inverter, CMOS inverter, pseudo CMOS inverter, BiCMOS inverter, and dynamic MOS inverter. For each type, it provides the circuit configuration, operating principles, advantages and disadvantages. It also gives examples of inverter symbols and their truth tables. The document aims to explain the basic concepts of MOS inverter design.
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...VIT-AP University
Reduction in leakage current has become a significant concern in nanotechnology-based low-power, low-voltage, and high-performance VLSI applications. This research article discusses a new low-power circuit design the approach of FORTRAN (FORced stack sleep TRANsistor), which decreases the leakage power efficiency in the CMOS-based circuit outline in VLSI domain. FORTRAN approach reduces leakage current in both active as well as standby modes of operation. Furthermore, it is not time intensive when the circuit goes from active mode to standby mode and vice-versa. To validate the proposed design approach, experiments are conducted in the Tanner EDA tool of mentor graphics bundle on projected circuit designs for the full adder, a chain of 4-inverters, and 4-bit multiplier designs utilizing 180nm, 130nm, and 90nm TSMC technology node. The outcomes obtained show the result of a 95-98% vital reduction in leakage power as well as a 15-20% reduction in dynamic power with a minor increase in delay. The result outcomes are compared for accuracy with the notable design approaches that are accessible for both active and standby modes of operation.
LEAKAGE POWER REDUCTION AND ANALYSIS OF CMOS SEQUENTIAL CIRCUITSVLSICS Design
A significant portion of the total power consumption in high performance digital circuits in deep submicron regime is mainly due to leakage power. Leakage is the only source of power consumption in an idle circuit. Therefore it is important to reduce leakage power in portable systems. In this paper two techniques such as transistor stacking and self-adjustable voltage level circuit for reducing leakage power in sequential circuits are proposed. This work analyses the power and delay of three different types of D flip-flops using pass transistors, transmission gates and gate diffusion input gates. . All the circuits are simulated with and without the application of leakage reduction techniques. Simulation results show that the proposed pass transistor based D flip-flop using self-adjustable voltage level circuit has the least leakage power dissipation of 9.13nW with a delay of 77 nS. The circuits are simulated with MOSFET models of level 54 using HSPICE in 90 nm process technology.
Analysis of pocket double gate tunnel fet for low stand by power logic circuitsVLSICS Design
This document analyzes and compares the pocket double gate tunnel FET (DGTFET) and MOSFET for use in low standby power logic circuits. Simulation results show that the pocket DGTFET has lower leakage current than the MOSFET. A pocket DGTFET inverter is designed in 32nm technology with a supply voltage of 0.6V. The pocket DGTFET inverter has significantly lower leakage power of 0.116pW compared to 1.83pW for a multi-threshold CMOS inverter. Therefore, the pocket DGTFET is well-suited to replace the MOSFET for low standby power applications.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
IRJET- A Novel High Speed Power Efficient Double Tail Comparator in 180nm...IRJET Journal
This document presents a novel double tail comparator design that aims to improve speed and power efficiency over existing comparator designs. It first discusses conventional comparator designs and their limitations at lower voltages. It then introduces a new 16 transistor double tail comparator configuration that includes control transistors, intermediate transistors, and NMOS switches to reduce power and delay. Simulation results show the transient response and estimate the power and delay of the new design, demonstrating performance improvements over conventional designs. The design was laid out using the Cadence Virtuoso tool.
Your One-Stop Shop for Python Success: Top 10 US Python Development Providersakankshawande
Simplify your search for a reliable Python development partner! This list presents the top 10 trusted US providers offering comprehensive Python development services, ensuring your project's success from conception to completion.
Programming Foundation Models with DSPy - Meetup SlidesZilliz
Prompting language models is hard, while programming language models is easy. In this talk, I will discuss the state-of-the-art framework DSPy for programming foundation models with its powerful optimizers and runtime constraint system.
OpenID AuthZEN Interop Read Out - AuthorizationDavid Brossard
During Identiverse 2024 and EIC 2024, members of the OpenID AuthZEN WG got together and demoed their authorization endpoints conforming to the AuthZEN API
Skybuffer SAM4U tool for SAP license adoptionTatiana Kojar
Manage and optimize your license adoption and consumption with SAM4U, an SAP free customer software asset management tool.
SAM4U, an SAP complimentary software asset management tool for customers, delivers a detailed and well-structured overview of license inventory and usage with a user-friendly interface. We offer a hosted, cost-effective, and performance-optimized SAM4U setup in the Skybuffer Cloud environment. You retain ownership of the system and data, while we manage the ABAP 7.58 infrastructure, ensuring fixed Total Cost of Ownership (TCO) and exceptional services through the SAP Fiori interface.
UiPath Test Automation using UiPath Test Suite series, part 6DianaGray10
Welcome to UiPath Test Automation using UiPath Test Suite series part 6. In this session, we will cover Test Automation with generative AI and Open AI.
UiPath Test Automation with generative AI and Open AI webinar offers an in-depth exploration of leveraging cutting-edge technologies for test automation within the UiPath platform. Attendees will delve into the integration of generative AI, a test automation solution, with Open AI advanced natural language processing capabilities.
Throughout the session, participants will discover how this synergy empowers testers to automate repetitive tasks, enhance testing accuracy, and expedite the software testing life cycle. Topics covered include the seamless integration process, practical use cases, and the benefits of harnessing AI-driven automation for UiPath testing initiatives. By attending this webinar, testers, and automation professionals can gain valuable insights into harnessing the power of AI to optimize their test automation workflows within the UiPath ecosystem, ultimately driving efficiency and quality in software development processes.
What will you get from this session?
1. Insights into integrating generative AI.
2. Understanding how this integration enhances test automation within the UiPath platform
3. Practical demonstrations
4. Exploration of real-world use cases illustrating the benefits of AI-driven test automation for UiPath
Topics covered:
What is generative AI
Test Automation with generative AI and Open AI.
UiPath integration with generative AI
Speaker:
Deepak Rai, Automation Practice Lead, Boundaryless Group and UiPath MVP
Generating privacy-protected synthetic data using Secludy and MilvusZilliz
During this demo, the founders of Secludy will demonstrate how their system utilizes Milvus to store and manipulate embeddings for generating privacy-protected synthetic data. Their approach not only maintains the confidentiality of the original data but also enhances the utility and scalability of LLMs under privacy constraints. Attendees, including machine learning engineers, data scientists, and data managers, will witness first-hand how Secludy's integration with Milvus empowers organizations to harness the power of LLMs securely and efficiently.
How to Interpret Trends in the Kalyan Rajdhani Mix Chart.pdfChart Kalyan
A Mix Chart displays historical data of numbers in a graphical or tabular form. The Kalyan Rajdhani Mix Chart specifically shows the results of a sequence of numbers over different periods.
Cosa hanno in comune un mattoncino Lego e la backdoor XZ?Speck&Tech
ABSTRACT: A prima vista, un mattoncino Lego e la backdoor XZ potrebbero avere in comune il fatto di essere entrambi blocchi di costruzione, o dipendenze di progetti creativi e software. La realtà è che un mattoncino Lego e il caso della backdoor XZ hanno molto di più di tutto ciò in comune.
Partecipate alla presentazione per immergervi in una storia di interoperabilità, standard e formati aperti, per poi discutere del ruolo importante che i contributori hanno in una comunità open source sostenibile.
BIO: Sostenitrice del software libero e dei formati standard e aperti. È stata un membro attivo dei progetti Fedora e openSUSE e ha co-fondato l'Associazione LibreItalia dove è stata coinvolta in diversi eventi, migrazioni e formazione relativi a LibreOffice. In precedenza ha lavorato a migrazioni e corsi di formazione su LibreOffice per diverse amministrazioni pubbliche e privati. Da gennaio 2020 lavora in SUSE come Software Release Engineer per Uyuni e SUSE Manager e quando non segue la sua passione per i computer e per Geeko coltiva la sua curiosità per l'astronomia (da cui deriva il suo nickname deneb_alpha).
GraphRAG for Life Science to increase LLM accuracyTomaz Bratanic
GraphRAG for life science domain, where you retriever information from biomedical knowledge graphs using LLMs to increase the accuracy and performance of generated answers
Main news related to the CCS TSI 2023 (2023/1695)Jakub Marek
An English 🇬🇧 translation of a presentation to the speech I gave about the main changes brought by CCS TSI 2023 at the biggest Czech conference on Communications and signalling systems on Railways, which was held in Clarion Hotel Olomouc from 7th to 9th November 2023 (konferenceszt.cz). Attended by around 500 participants and 200 on-line followers.
The original Czech 🇨🇿 version of the presentation can be found here: https://www.slideshare.net/slideshow/hlavni-novinky-souvisejici-s-ccs-tsi-2023-2023-1695/269688092 .
The videorecording (in Czech) from the presentation is available here: https://youtu.be/WzjJWm4IyPk?si=SImb06tuXGb30BEH .
Digital Marketing Trends in 2024 | Guide for Staying AheadWask
https://www.wask.co/ebooks/digital-marketing-trends-in-2024
Feeling lost in the digital marketing whirlwind of 2024? Technology is changing, consumer habits are evolving, and staying ahead of the curve feels like a never-ending pursuit. This e-book is your compass. Dive into actionable insights to handle the complexities of modern marketing. From hyper-personalization to the power of user-generated content, learn how to build long-term relationships with your audience and unlock the secrets to success in the ever-shifting digital landscape.
Webinar: Designing a schema for a Data WarehouseFederico Razzoli
Are you new to data warehouses (DWH)? Do you need to check whether your data warehouse follows the best practices for a good design? In both cases, this webinar is for you.
A data warehouse is a central relational database that contains all measurements about a business or an organisation. This data comes from a variety of heterogeneous data sources, which includes databases of any type that back the applications used by the company, data files exported by some applications, or APIs provided by internal or external services.
But designing a data warehouse correctly is a hard task, which requires gathering information about the business processes that need to be analysed in the first place. These processes must be translated into so-called star schemas, which means, denormalised databases where each table represents a dimension or facts.
We will discuss these topics:
- How to gather information about a business;
- Understanding dictionaries and how to identify business entities;
- Dimensions and facts;
- Setting a table granularity;
- Types of facts;
- Types of dimensions;
- Snowflakes and how to avoid them;
- Expanding existing dimensions and facts.
HCL Notes and Domino License Cost Reduction in the World of DLAUpanagenda
Webinar Recording: https://www.panagenda.com/webinars/hcl-notes-and-domino-license-cost-reduction-in-the-world-of-dlau/
The introduction of DLAU and the CCB & CCX licensing model caused quite a stir in the HCL community. As a Notes and Domino customer, you may have faced challenges with unexpected user counts and license costs. You probably have questions on how this new licensing approach works and how to benefit from it. Most importantly, you likely have budget constraints and want to save money where possible. Don’t worry, we can help with all of this!
We’ll show you how to fix common misconfigurations that cause higher-than-expected user counts, and how to identify accounts which you can deactivate to save money. There are also frequent patterns that can cause unnecessary cost, like using a person document instead of a mail-in for shared mailboxes. We’ll provide examples and solutions for those as well. And naturally we’ll explain the new licensing model.
Join HCL Ambassador Marc Thomas in this webinar with a special guest appearance from Franz Walder. It will give you the tools and know-how to stay on top of what is going on with Domino licensing. You will be able lower your cost through an optimized configuration and keep it low going forward.
These topics will be covered
- Reducing license cost by finding and fixing misconfigurations and superfluous accounts
- How do CCB and CCX licenses really work?
- Understanding the DLAU tool and how to best utilize it
- Tips for common problem areas, like team mailboxes, functional/test users, etc
- Practical examples and best practices to implement right away
Project Management Semester Long Project - Acuityjpupo2018
Acuity is an innovative learning app designed to transform the way you engage with knowledge. Powered by AI technology, Acuity takes complex topics and distills them into concise, interactive summaries that are easy to read & understand. Whether you're exploring the depths of quantum mechanics or seeking insight into historical events, Acuity provides the key information you need without the burden of lengthy texts.
Building Production Ready Search Pipelines with Spark and MilvusZilliz
Spark is the widely used ETL tool for processing, indexing and ingesting data to serving stack for search. Milvus is the production-ready open-source vector database. In this talk we will show how to use Spark to process unstructured data to extract vector representations, and push the vectors to Milvus vector database for search serving.
In the rapidly evolving landscape of technologies, XML continues to play a vital role in structuring, storing, and transporting data across diverse systems. The recent advancements in artificial intelligence (AI) present new methodologies for enhancing XML development workflows, introducing efficiency, automation, and intelligent capabilities. This presentation will outline the scope and perspective of utilizing AI in XML development. The potential benefits and the possible pitfalls will be highlighted, providing a balanced view of the subject.
We will explore the capabilities of AI in understanding XML markup languages and autonomously creating structured XML content. Additionally, we will examine the capacity of AI to enrich plain text with appropriate XML markup. Practical examples and methodological guidelines will be provided to elucidate how AI can be effectively prompted to interpret and generate accurate XML markup.
Further emphasis will be placed on the role of AI in developing XSLT, or schemas such as XSD and Schematron. We will address the techniques and strategies adopted to create prompts for generating code, explaining code, or refactoring the code, and the results achieved.
The discussion will extend to how AI can be used to transform XML content. In particular, the focus will be on the use of AI XPath extension functions in XSLT, Schematron, Schematron Quick Fixes, or for XML content refactoring.
The presentation aims to deliver a comprehensive overview of AI usage in XML development, providing attendees with the necessary knowledge to make informed decisions. Whether you’re at the early stages of adopting AI or considering integrating it in advanced XML development, this presentation will cover all levels of expertise.
By highlighting the potential advantages and challenges of integrating AI with XML development tools and languages, the presentation seeks to inspire thoughtful conversation around the future of XML development. We’ll not only delve into the technical aspects of AI-powered XML development but also discuss practical implications and possible future directions.
1. Ajay Kumar Dadoria et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 3, Issue 6, Nov-Dec 2013, pp.2048-2052
RESEARCH ARTICLE
www.ijera.com
OPEN ACCESS
Comparision on Different Domino Logic Design for HighPerformance and Leakage-Tolerant Wide OR Gate
Uday Panwar*, Ajay Kumar Dadoria**
(Department of Electronics and Communication Engineering MANIT Bhopal, M.P., India
ABSTRACT
- Dynamic logic circuits are used for high performance and high speed applications. Wide OR gates are used in
Dynamic RAMs, Static RAMs, high speed processors and other high speed circuits. In spite of their high
performance, dynamic logic circuit has high noise and extensive leakage which has caused problems for the
circuits. To overcome these problems Domino logic circuits are used which reduce sub-threshold leakage current
in standby mode and improve noise immunity for wide OR gates. In this paper we analyze and compare different
domino logic design topologies for lowering the sub-threshold leakage current in standby mode, increasing the
speed and increasing the noise immunity. We compare power, delay, and unit noise gain (UNG) of different
topologies. The simulation results revealed that High Speed Clock Delay Domino (HSCD) circuit gives the
better results in terms of reduction in delay and power consumption as compare to other circuits.
Keywords - Wide domino circuit, sub-threshold leakage current, delay, noise immunity.
I.
www.ijera.com
VDD
INTRODUCTION
In comparison to static CMOS circuits, dynamic
CMOS circuits have a large number of advantages
such as lower number of transistors, low-power,
higher speed, short-circuit power free and glitch-free
operation. Because of these properties, high
performance systems are realized using dynamic
CMOS circuits. The main limitations of dynamic logic
are cascading and charge sharing. To overcome these
problem domino circuits are use. In addition to
dynamic logic an inverter and a weak pMOS pull-Up
keeper transistor (with a small (W/L) ratio) is added to
the dynamic CMOS output stage in domino logic.
Inverter is use to avoid cascading problem and to
avoid charge sharing problem weak keeper is used,
which essentially forces high output level unless
there is a strong pull-down path between the output
and the ground.
Wide fan-in domino circuits [1] are used to design
high performance register files, ALU front ends, and
priority encoders in content addressable memories.
Wide domino logic refers to domino logic gates with
N parallel pull down branches when N is greater then
4; that are used to design circuits in microprocessor
critical path. By scaling down the technology the
sensitivity of the dynamic node to the noise sources
has emerged as a serious design challenge. For
improving noise immunity and reducing leakage the
keeper transistor is added.
However, power
dissipation increases and
VDD
PRECHARGE TRANSISTOR
CLK
KEEPER TRANSISTOR
MP1
MP2
VDD
MP3
DYNAMIC NODE
INn
IN2
IN1
OUTPUT
MN1
Fig.1 Standard Footerless Domino Logic Circuit
performance degrades by adding this pMOS
keeper transistor. Upsizing the keeper transistor
improves robustness at a cost of higher power
dissipation and delay. The severity increases many
fold in wide Domino circuits because of higher
number of parallel pull-down branches [2]. Therefore
small size keeper is desired for high-speed
applications while to increase the robustness, larger
keeper is required. Thus, trade off exist between delay
and power to improve noise and leakage immunity [3].
Such trade-off is not acceptable because it may
increase the delay or make the circuit too power
hungry. There are several techniques introduce in the
paper to address this issue.
2048 | P a g e
2. Ajay Kumar Dadoria et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 3, Issue 6, Nov-Dec 2013, pp.2048-2052
www.ijera.com
depending on incoming data to pull-down network the
state of output node is obtained.
VDD
VDD
PRECHARGE TRANSISTOR
CLK
KEEPER TRANSISTOR
MP2
MP1
VDD
MP3
DYNAMIC NODE
Fig. 2 Failure mechanism for 16-in OR gate (FLDL)
The rest of the paper is arranged as follows.
Section II, studies five types of circuits that have been
proposed in related literatures, standard footless
domino logic, standard footed
domino logic,
conditional keeper domino logic, high speed domino
logic, split domino logic and high speed clock delay
domino logic. Simulation results of different methods
and compare in section II. Conclusion in section III.
II.
PREVIOUS TECHNIQUE
A.
Standard Footless Domino Logic Circuit
(SFLDL)
The footless scheme [4] is characterized by
the fact that discharge of dynamic node is faster. This
property is exploited by the high-performance circuits.
The circuit of the SFLD logic is shown in Fig1.
Operation of Footless-Domino is as follows: During
the pre-charge phase, i.e. when then clock (CLK) is
LOW, the dynamic node is charged to VDD and the
keeper transistor MP2 turns ON to maintain the
voltage of the dynamic node. During the evaluation
mode, i.e. when the CLK goes HIGH, the dynamic
node is either discharged to ground or remains HIGH
depending on the inputs. The size of the keeper
transistor should be large enough to compensate for
charge sharing problem and at the same time it should
be small enough to reduce the contention between the
keeper and the nMOS pull down transistor in the case
the pull down network evaluates the dynamic node to
logic level zero. Otherwise, the pull down network
and keeper transistor compete to drive the dynamic
node to two opposite directions, this effect is called
contention and this results in the degradation of speed.
B. Standard Footed Domino Logic Circuit (SFDL)
The footer nMOS transistor MN2 is
connected to the source of evaluation nMOS transistor
to obtain the FDL [5] design which basically reduces
the leakage current. The speed the SFDL is lower than
the footless one because of the stacking effect, but the
noise immunity is higher. Fig.3 shows the most
conventional footed domino logic circuit. When clock
is low, the dynamic node is pre-charged to VDD [7]. In
this phase the footed transistor MN2 is turned off,
which reduces the leakage current. When clock goes
high, footer transistor MN2 is turned on. So,
www.ijera.com
IN2
INn
OUTPUT
MN1
IN1
CLK
Fig.3 standard footed domino logic circuit
C. High Speed Domino Logic (HS)
The circuit of the HS Domino logic is shown
in Fig.4 [6]. In HS domino the keeper transistor is
driven by a combination of the output node and a
delayed clock. The circuit works as follows: At the
start of the evaluation phase, when clock is high, MP 3
turns on and then the keeper transistor MP2 turns OFF.
In this way, the contention between evaluation
network and keeper transistor is reduced by turning
off the keeper transistor at the beginning of evaluation
mode. After the delay equals the delay of two
inverters, transistor MP3 turns off. At this moment, if
the dynamic node has been discharged to
VDD
VDD
VDD
MP3
CLK
MP2
MP1
MN1
DYNAMIC NODE
OUTPUT
INn
IN2
IN1
Fig.4 High Speed Domino Logic
ground, i.e. if any input goes high, the nMOS
transistor MN1 remains OFF. Thus the voltage at the
gate of the keeper goes to VDD-Vth and not VDD
causing higher leakage current though the keeper
transistor[7]. On the other hand, if the dynamic node
remains high during the evaluation phase (all inputs at
„„0‟‟, standby mode), MN1 turns on and pulls the gate
of the keeper transistor. Thus keeper transistor will
turn on to keep the dynamic node high, fighting the
effects of leakage.
2049 | P a g e
3. Ajay Kumar Dadoria et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 3, Issue 6, Nov-Dec 2013, pp.2048-2052
D. Conditional Keeper Domino Logic (CKD)
Conditional Keeper employs two keepers,
small keeper and large keeper [8]. In this technique,
the keeper device (PK) in conventional domino is
divided into two smaller ones,PK1 and PK2. The
keeper sizes are chosen such that PK=PK1+PK2 [9].
Such sizing insures the same level of leakage
tolerance as the conventional gate but yet improving
the speed.
The circuit works as follows: in pre-charge
phase when clock is low, the pull-up transistor is on,
so the dynamic node starts being charge up to VDD. At
the beginning of evaluation phase when clock is high
pre-charge transistors and large keeper PK1 are off.
When all the inputs are at low logic level, i.e. in
standby mode, the dynamic node after the delays of
two inverters remains high, in this condition the output
node of NAND gate goes low, this causes the large
keeper PK1 to be turned on. The large keeper is
deployed after a delay for two inverters, to prevent
erroneous discharge of the dynamic node when all
inputs remain LOW. The small keeper PK2; however
remain ON to compensate for charge leakage until
PK1 is activated.
E. Split Domino Logic (SDL)
As mentioned before, there are many parallel
branches in a large fan-in dynamic OR gate. When the
dynamic node voltage remains at VDD, the nMOS pulldown branches cause a large amount of leakage
current. The propagation delay is increased due the
large parasitic capacitive effect as this parasitic
capacitance must be discharged to zero during
evaluation. Split-domino is a very smart technique that
by splitting the pull-down network into smaller groups
improves the operation of the gate by using small size
of keeper in both
TKEEPER
DELAY ELEMENT
VDD
VDD
VDD
LARGE KEEPER
PK2
VDD
SMALLER KEEPER
PK1
CLK
DYNAMIC NODE
OUTPUT
IN1
IN2
INn
GND
Fig.5 Conditional Keeper Domino Logic
www.ijera.com
www.ijera.com
Total evaluation time =TCLK / 2
TKeeper
CLK
OUTPUT
Weak Keeper
TCLK / 2 - TKeeper
Strong Keeper
If output is high
No Keeper if output is low
INPUT
Dynamic timing and strength variation of the CKD
during the evaluation time
situations [10]. Therefore, in theory we need two
keeper transistors with a width almost half as much as
the conventional circuit. Fig.6 shows the 16-bit
domino OR gate split in two. The circuit overhead is
not as much as it might look, as there are two static
inverters in the conventional domino circuit in place
of two and three input NAND gates and besides they
can be implemented using minimum size transistors.
The circuit overhead is almost the same as the
conditional keeper technique.
F. High Speed Clock Delay Domino Logic (HSCD)
Another proposed circuit topology of High
Speed Clock Delay Domino circuit[11] is shown in
Fig.7. In this circuit footer transistor MN1 is added to
the tail of the evaluation network, which employs
stacking effect. Thus the noise immunity improves. At
the beginning of the evaluation phase steady state
voltage of N-FOOT node is uses to reduce leakage of
the evaluation network. The circuit works as follow:
Preacharge Mode: during the preacharge mode when
clock is low precharge transistor is turned on which
charge the dynamic node to VDD. in addition pMOS
keeper transistor is turned on helping the precharge.
MN1 is also ON at the beginning of the evaluation
phase which connects the N-FOOT node to ground.
Furthermore, node GMN2 is low which is connected
to the gate of MN2 and thus MN2 is OFF. After the
delay equals to the delay of the two inverter (delay
element), transistor MN1 turns off. After the delay of
an inverter, MN1 turns off. In this case, the N-FOOT
node voltage rises to an intermediate voltage level. To
avoid any possibility of short circuit current in the
precharge phase evaluation transistors are sized such
that the DC voltage on GMN2 node does not exceed
the threshold voltage of MN2.
Evaluation mode: in evaluation mode clock is high.
There is two possibility first when all the inputs are
low (standby mode) and second when any input is
high(active mode).
Standby Mode: In standby mode, i.e. when all the
inputs are at logic low level, nMOS footer transistor
MN1 is OFF at the
2050 | P a g e
4. Ajay Kumar Dadoria et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 3, Issue 6, Nov-Dec 2013, pp.2048-2052
VDD
CLK
(discharging of the dynamic node) completes through
the evaluation network and the footer transistor that is
fully on. Here we have more degree of freedom for
increasing speed or enhancing noise immunity.
VDD
PRECHARGE TRANSISTOR
KEEPER TRANSISTOR
CLK
MP2
MP1
III.
DYNAMIC NODE
IN2
INn
IN1
OUTPUT
VDD
VDD
MP3
MP4
PRECHARGE TRANSISTOR
CLK
KEEPER TRANSISTOR
DYNAMIC NODE
IN2
INn
IN1
Fig.6 Split Domino Logic Circuit
beginning of the evaluation phase. Therefore, NFOOT node voltage charges up to a DC voltage. This
DC voltage reduces leakage of the evaluation network
substantially resulting in significant leakage power
reduction.
Active Mode: When any one of the input switches
from low to high, the N-FOOT node voltage
increases at the beginning of the evaluation phase
which turns on transistor MP3.
Simulations are performed in 65 nm
technology at 2 GHz frequency and VDD of 1 V. The
fall/rise times of the waveforms were set to 1pS.
Considering the application of wide OR gates delay,
power dissipation and UNG(Unit Noise Gain) has
been calculated for 8 input and 16 input OR gate to
compare different topologies.
For calculation of UNG [11], a pulse noise is
applied to all inputs with amplitude which is a fraction
of supply voltage and a pulse width equal to 30% of
duty cycle. Then, the amplitude of the input noise
pulse is increased until the amplitude of the resulting
output noise voltage is equal to that of the input noise
signal. This noise amplitude is defined as
UNG= Vin,Vnoise = Voutput
Transient Analysis
Transient analysis shows a graph between
inputs, outputs with respect to time axis.
Fig.8 shows the waveform of inputs, outputs,
clock and dynamic node voltage of 8 inputs
OR gate based on proposed circuit and based
on DCLCR logic style respectively.
KEEPER TRANSISTOR
MP2
MP1
DYNAMIC NODE
INn
PERFORMANCE COMPARISON
OF PRESENTED METHODES
VDD
VDD
PRECHARGE TRANSISTOR
CLK
www.ijera.com
IN2
IN1
OUTPUT
MN2
N-FOOT
MP3
GMN2
Clock
MN1
MN3
Delay
Fig.7 High Speed Clock Delay Domino Logic
Consequently, node GMN2 is charged to a
voltage that is supplied by N-FOOT node voltage.
Therefore, GMN2 voltage goes higher than the
threshold voltage of MN2 depending on the sizing of
the transistors. Then at the onset of evaluation phase
while the footer transistor MN1 is OFF, nMOS
transistor MN2 turns on which connects the dynamic
node to ground. However the size of the MN 2 decides
the amount of discharging current through MN2. After
a delay equals to the delay of two inverters, N-FOOT
node is connected to ground and the rest of evaluation
phase is accomplished through the footer transistor,
MN1. When the dynamic node goes low, the output
node becomes high, turning on MN3 that leads to OFF
MN2. However, the rest of evaluation phase
www.ijera.com
Fig. 8 Simulation results of 8 inputs DCLCD based
OR gate
Area: This is the Layout Diagram for area Calculation
of
Fig.8 Layout Diagram
2051 | P a g e
5. Ajay Kumar Dadoria et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 3, Issue 6, Nov-Dec 2013, pp.2048-2052
TABLE I
Result for 8 Input OR gate
Dela
UN
Power
y
G
dissipatio
n
SFLD 1
1
1
EVALUATIO
N DELAY (ps)
SFD
1.07
1.01
.85
8.14
CKD
1.01
.94
1.02
14.40
HS
.96
1.02
1.01
8.22
SD
.94
.93
1.11
11.39
HSC
D
.93
3.1
1.02
13.80
[5]
1
[6]
[7]
TABLE II
Result for 16 Input OR gate
Delay
UNG
Power
dissipation
SFLD
1
1
1
EVALU
ATION
DELAY
(ps)
1
SFD
1.09
1.03
0.87
17.54
CKD
1.05
0.9
1.05
11.96
HS
0.951
0.97
1.03
14.54
SD
0.921
0.95
1.25
17.98
HSCD
0.907
3.3
1.1
19.08
I. CONCLUSION
In this paper, several domino logic circuit
topologies were proposed for high-speed and leakagetolerant design. High speed clock delayed (HSCD)
domino method has the best performance among
others. HSCD has a speed improvement of 9% as
compared to SFLD and noise immunity also increases.
HSCD method can be used for very high speed circuit.
[8]
[9]
[10]
[11]
www.ijera.com
MOSFETs,”IEEE Transactions on Electron
Devices 51 (10) (2004) 1733–1735.
F. Assaderaghi, D. Sinitsky, S.A. Parke, J.
Bokor, P. KO, C. Hu, “A dynamic- threshold
MOSFET for ultra-low voltage operation,”
Electron Devices Meeting Tech. Digest
(1994) 809–812.
M.W. Allam, M.H. Anis, M.I. Elmasry,
“High speed dynamic logic style for scaleddown CMOS and MTCMOS technologies,”
in: Proceedings of the International
Symposium on Low Power Electronics and
Design, 2000, pp. 155–160.
M. H. Anis, M. W. Allam, and M. I. Elmasry,
“Energy-efficient noise- tolerant dynamic
styles for scaled-down CMOS and MTCMOS
technologies,” IEEE Trans. Very Large Scale
(VLSI) Syst., 2002.
A. Alvandpour, R.K. Krishnamurthy, K.
Soumyanath, S.Y. Borkar, “A sub-130-nm
conditional keeper technique,” IEEE Journal
of Solid-State Circuits 37 (2002) 633-638.
A. Alvandpour, R. Krishnamurthy, K.
Soumayanath,
ands.
Borkar,
“
A
Low-Leakage Dynamic Multi Ported
Register File in 0.13 µm CMOS,” in
proceedings of international Symposium on
Low Power Electronics and Design, 2001,
pp. 68-71.
Mohamed Elgebaly and Manoj Sachdev, “ A
leakage Tolerant Energy Efficient Wide
Domino Circuit Technique ” 2002 IEEE.
Farshad Moradi, Tuan VuCao, ElenaI.
Vatajelu, Ali Peiravi, Hamid Mahmoodi,
DagT.Wisland, “Domino logic designs for
high-performance
and
leakage-tolerant
applications,” INTEGRATION, the VLSI
journal (2012).
REFERENCES
[1]
[2]
[3]
[4]
L. T. Clarke, G. F. Taylor, “High fan-in
circuit design,” IEEE Journal of Solid-State
Circuits, vol. 31, Issue 1, January 1996,
pp.91-96.
Farshad Moradi, TuanVuCao, ElenaI.
Vatajelu, AliPeiravi, Hamid
Mahmoodi,
DagT.Wisland,“Domino logic designs for
high-performance
and
leakage-tolerant
applications,” Elsevier INTEGRATION, the
VLSI journal, Issue 24 April 2012.
Farshad Moradi,
AliPeiravi, Hamid
Mahmoodi, “A New Leakage Tolerant
Design for High Fan-in Domino circuits, ”
2004 IEEE.
B.-Y. Tsui, L.-F. Chin, “A comprehensive
study of the FIBL of nanoscale
www.ijera.com
2052 | P a g e