Dynamic logic style is used in high performance
circuit design because of its fast speed and less transistors
requirement as compared to CMOS logic style. But it is not
widely accepted for all types of circuit implementations due
to its less noise tolerance and charge sharing problems. A
small noise at the input of the dynamic logic can change the
desired output. Domino logic uses one static CMOS inverter
at the output of dynamic node which is more noise immune
and consuming very less power as compared to other proposed
circuit. In this paper we have proposed a novel circuit for
domino logic which has less noise at the output node and has
very less power-delay product (PDP) as compared to previous
reported articles. Low PDP is achieved by using semi-dynamic
logic buffer and also reducing leakage current when PDN is
not conducting. This paper also analyses the PDP of the circuit
at very low voltage and different W/L ratio of the transistors.
The document describes a wireless clock synchronization system for sensor networks that uses ambient radio signals transmitted through building wiring as a synchronization source. It discusses using the 60 Hz power line signal to provide precise timing information. The system includes an amplifier circuit to boost the weak signal and a phase locked loop to extract the clock timing. It then presents a protocol for flooding this timing information through the network so that sensor nodes can synchronize to a common clock with minimal overhead. Evaluation results demonstrate that the approach can achieve microsecond-level synchronization accuracy while consuming under 150 microwatts of power.
This document proposes a novel dual stack sleep technique for reducing reactivation noise in MTCMOS circuits.
The technique divides existing transistors into two half-sized transistors and adds sleep transistors in parallel to reduce leakage current during sleep mode. During active mode, the sleep transistors and parallel transistors work as a transmission gate to efficiently reconnect power.
Simulation results show the dual stack SCCER flip-flop has an area of 38um^2 and power dissipation of 3.297uW, compared to 22um^2 and 0.327mW for the conventional SCCER flip-flop. The dual stack approach significantly reduces leakage power while maintaining performance during active mode.
This document investigates electromagnetic interference from the power supply of a pulsed solid state laser. The power supply charges a 300 microfarad capacitor bank to 3 kilovolts then discharges the energy through a flash lamp. Measurements were taken of radiated electromagnetic noise using antennas up to 1 GHz as well as near field probes and conducted line noise. The results show that while a coaxial cable provides better shielding at some frequencies, it also has resonance points where interference increases compared to a single core cable. Overall, the coaxial cable achieved approximately 2-3 decibel reduction in electromagnetic emissions.
This document describes a winning design for a low-power RF identification transponder that can be mounted on rail cars to allow their identification as they pass reader transceivers along the track. The design uses a single microwave diode and inexpensive FR-4 printed circuit board to rectify energy from an interrogating RF field and power a microcontroller unit, which returns data via amplitude modulation. The simple, passive design eliminates batteries and has a low profile, rugged construction, and low manufacturing cost. It was shown to operate reliably from up to 20 feet away using less than 2 mW of transmitted power.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
High-Brightness 9XX-nm Pumps with Wavelength StabilizationOleg Maksimov
Â
This document summarizes the development of high-power, high-brightness laser diode pumps for fiber lasers. It describes pumps capable of outputting over 100W of continuous wave power while maintaining high efficiency and beam quality. Key points discussed include:
1. Single emitter pumps have advantages over bar stacks in cost, reliability, and performance for applications requiring kilowatt-class output.
2. New pumps achieve over 65% efficiency and output over 100W while maintaining a beam numerical aperture below 0.13.
3. Wavelength stabilized pumps provide over 50W within a 1.5nm window, suitable for pumping specific gain media.
4. 100W-class pumps demonstrate high reliability with
The Analysis of Dead Time on Switching Loss in High and Low Side MOSFETs of Z...IDES Editor
Â
This work is about the analysis of dead time variation
on switching losses in a Zero Voltage Switching (ZVS)
synchronous buck converter (SBC) circuit. In high frequency
converter circuits, switching losses are commonly linked with
high and low side switches of SBC circuit. They are activated
externally by the gate driver circuit. The duty ratio, dead time
and resonant inductor are the parameters that affect the
efficiency of the circuit. These variables can be adjusted for
the optimization purposes. The study primarily focuses on
varying the settings of input pulses of the MOSFETs in the
resonant gate driver circuit which consequently affects the
performance of the ZVS synchronous buck converter circuit.
Using the predetermined inductor of 9 nH, the frequency is
maintained at 1 MHz for each cycle transition. The switching
loss graph is obtained and switching losses for both S1 and S2
are calculated and compared to the findings from previous
work. It has shown a decrease in losses by 13.8 % in S1. A dead
time of 15 ns has been determined to be optimized value in
the SBC design.
The document describes a wireless clock synchronization system for sensor networks that uses ambient radio signals transmitted through building wiring as a synchronization source. It discusses using the 60 Hz power line signal to provide precise timing information. The system includes an amplifier circuit to boost the weak signal and a phase locked loop to extract the clock timing. It then presents a protocol for flooding this timing information through the network so that sensor nodes can synchronize to a common clock with minimal overhead. Evaluation results demonstrate that the approach can achieve microsecond-level synchronization accuracy while consuming under 150 microwatts of power.
This document proposes a novel dual stack sleep technique for reducing reactivation noise in MTCMOS circuits.
The technique divides existing transistors into two half-sized transistors and adds sleep transistors in parallel to reduce leakage current during sleep mode. During active mode, the sleep transistors and parallel transistors work as a transmission gate to efficiently reconnect power.
Simulation results show the dual stack SCCER flip-flop has an area of 38um^2 and power dissipation of 3.297uW, compared to 22um^2 and 0.327mW for the conventional SCCER flip-flop. The dual stack approach significantly reduces leakage power while maintaining performance during active mode.
This document investigates electromagnetic interference from the power supply of a pulsed solid state laser. The power supply charges a 300 microfarad capacitor bank to 3 kilovolts then discharges the energy through a flash lamp. Measurements were taken of radiated electromagnetic noise using antennas up to 1 GHz as well as near field probes and conducted line noise. The results show that while a coaxial cable provides better shielding at some frequencies, it also has resonance points where interference increases compared to a single core cable. Overall, the coaxial cable achieved approximately 2-3 decibel reduction in electromagnetic emissions.
This document describes a winning design for a low-power RF identification transponder that can be mounted on rail cars to allow their identification as they pass reader transceivers along the track. The design uses a single microwave diode and inexpensive FR-4 printed circuit board to rectify energy from an interrogating RF field and power a microcontroller unit, which returns data via amplitude modulation. The simple, passive design eliminates batteries and has a low profile, rugged construction, and low manufacturing cost. It was shown to operate reliably from up to 20 feet away using less than 2 mW of transmitted power.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
High-Brightness 9XX-nm Pumps with Wavelength StabilizationOleg Maksimov
Â
This document summarizes the development of high-power, high-brightness laser diode pumps for fiber lasers. It describes pumps capable of outputting over 100W of continuous wave power while maintaining high efficiency and beam quality. Key points discussed include:
1. Single emitter pumps have advantages over bar stacks in cost, reliability, and performance for applications requiring kilowatt-class output.
2. New pumps achieve over 65% efficiency and output over 100W while maintaining a beam numerical aperture below 0.13.
3. Wavelength stabilized pumps provide over 50W within a 1.5nm window, suitable for pumping specific gain media.
4. 100W-class pumps demonstrate high reliability with
The Analysis of Dead Time on Switching Loss in High and Low Side MOSFETs of Z...IDES Editor
Â
This work is about the analysis of dead time variation
on switching losses in a Zero Voltage Switching (ZVS)
synchronous buck converter (SBC) circuit. In high frequency
converter circuits, switching losses are commonly linked with
high and low side switches of SBC circuit. They are activated
externally by the gate driver circuit. The duty ratio, dead time
and resonant inductor are the parameters that affect the
efficiency of the circuit. These variables can be adjusted for
the optimization purposes. The study primarily focuses on
varying the settings of input pulses of the MOSFETs in the
resonant gate driver circuit which consequently affects the
performance of the ZVS synchronous buck converter circuit.
Using the predetermined inductor of 9 nH, the frequency is
maintained at 1 MHz for each cycle transition. The switching
loss graph is obtained and switching losses for both S1 and S2
are calculated and compared to the findings from previous
work. It has shown a decrease in losses by 13.8 % in S1. A dead
time of 15 ns has been determined to be optimized value in
the SBC design.
DESIGN AND ANALYSIS OF 2 GHz 130nm CMOS CASCODE LOW NOISE AMPLIFIER WITH INTE...csijjournal
Â
This work, illustrates the development of 2 GHz Low Noise Amplifier (LNA) interfaced with square truncated edge-fed right circularly polarized patch antenna. The LNA is simulated on Agilent ADS platform with TSMC 130nm RF CMOS process. The development of cascode amplifier and its optimization has been further exemplified. The developed LNA is tuned for 2 GHz and the performance is tuned for high stability factor of 4, Gain of 19 dB which is essential for any mobile device, Noise Figure (NF) of 1.15 dB with a P1dB point at -9 dBm. Further a truncated patch antenna with right circular polarization has been simulated on EMpro. The antenna has a gain of 6.1 dB in the azimuth plane. The simulated system can be further integrated to form the RF front end of TDD2000 LTE standard mobile device.
High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor G...iosrjce
Â
IOSR journal of VLSI and Signal Processing (IOSRJVSP) is a double blind peer reviewed International Journal that publishes articles which contribute new results in all areas of VLSI Design & Signal Processing. The goal of this journal is to bring together researchers and practitioners from academia and industry to focus on advanced VLSI Design & Signal Processing concepts and establishing new collaborations in these areas.Design and realization of microelectronic systems using VLSI/ULSI technologies require close collaboration among scientists and engineers in the fields of systems architecture, logic and circuit design, chips and wafer fabrication, packaging, testing and systems applications. Generation of specifications, design and verification must be performed at all abstraction levels, including the system, register-transfer, logic, circuit, transistor and process levels.
The document describes the design of a low noise amplifier (LNA) circuit for a wireless local area network (WLAN) operating at 2.4 GHz. Key goals of the design are to improve noise figure and gain performance. A single-stage LNA circuit is proposed using an NMOS transistor with inductive source degeneration. Simulation results show the designed LNA achieves a forward gain of 18.8-19.2 dB and a noise figure of 1.986 dB, with over 28 dB of reverse isolation at 2.4 GHz. The document discusses various design considerations for the LNA including gain, nonlinearity, matching, noise, output voltage swing, and stability.
M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.Suchitra goudar
Â
The document proposes designs for ternary logic gates based on single power supply voltage for CMOS technology. It describes the design of a simple ternary inverter (STI), negative ternary inverter (NTI), and positive ternary inverter (PTI) using only enhancement-type MOSFETs. Transistor widths and lengths are optimized to achieve the desired voltage transfer characteristics. Basic ternary logic gates including a ternary NAND (TNAND) and ternary NOR (TNOR) are also designed using a similar single-transistor approach. The proposed gate designs aim to reduce transistor count and power consumption compared to prior ternary logic designs.
IOSR journal of VLSI and Signal Processing (IOSRJVSP) is an open access journal that publishes articles which contribute new results in all areas of VLSI Design & Signal Processing. The goal of this journal is to bring together researchers and practitioners from academia and industry to focus on advanced VLSI Design & Signal Processing concepts and establishing new collaborations in these areas.
The document proposes a new low power technique called Variable Body Biasing to reduce leakage power in subthreshold dual mode logic circuits. It works by increasing the body-to-source voltage of the sleep transistor in sleep mode to increase its threshold voltage and reduce leakage current. Simulation results show the proposed logic switches between active and sleep modes and reduces average power and delay compared to traditional CMOS and Dual Mode Logic designs.
This document describes the design of a low noise amplifier (LNA) for wireless applications operating at 900 MHz. The LNA was implemented using a 0.13um RF CMOS technology and a cascode topology with inductive source degeneration. Simulation results showed the LNA has a gain of 26 dB, noise figure of 1.04 dB, input return loss of -14 dB, output return loss of -6.55 dB, reverse isolation of -39.76 dB, and power consumption of 115uW from a 2.5V supply. The LNA meets the requirements of low noise figure, high gain and low power consumption for a 900 MHz wireless application.
The document is an optical communication lab manual that outlines 8 experiments on optical fibers and fiber optic communication. Experiment 1 involves demonstrating different types of optical fibers and connectors. Experiment 2 establishes a 650nm fiber optic analog link. Experiment 3 establishes a 650nm digital fiber optic link. Experiment 4 studies intensity modulation using an analog input signal, transmitting it over fiber, and demodulating the output. Experiment 5 is similar but uses a digital input signal.
High performance novel dual stack gating technique for reduction of ground bo...eSAT Journals
Â
Abstract The development of digital integrated circuits is challenged by higher power consumption. The combination of higher clock speeds, greater functional integration, and smaller process geometries has contributed to significant growth in power density. Today leakage power has become an increasingly important issue in processor hardware and software design. So to reduce the leakages in the circuit many low power strategies are identified and experiments are carried out. But the leakage due to ground connection to the active part of the circuit is very higher than all other leakages. As it is mainly due to the back EMF of the ground connection we are calling it as ground bounce noise. To reduce this noise, different methodologies are designed. In this paper, a number of critical considerations in the sleep transistor design and implementation includes header or footer switch selection, sleep transistor distribution choices and sleep transistor gate length, width and body bias optimization for area, leakage and efficiency. Novel dual stack technique is proposed that reduces not only the leakage power but also dynamic power. The previous techniques are summarized and compared with this new approach and comparison of both the techniques is done with the help of Digital Schematic( DSCH ) and Microwind low power tools. Stacking power gating technique has been analyzed and the conditions for the important design parameters (Minimum ground bounce noise) have been derived. The Monte-Carlo simulation is performed in Microwind to calculate the values of all the needed parameters for comparison. Index Terms: Ground Bounce Noise ,Power gating schemes ,Static power dissipation, Dynamic power dissipation, Power gating parameters, Sleep transistors, Novel dual stack approach, Transistor leakage power
Design and Simulation of Low Noise Amplifiers at 180nm and 90nm TechnologiesIJERA Editor
Â
This document describes the design and simulation of low noise amplifiers (LNAs) at 180nm and 90nm technologies. The author presents the design methodology and calculations for component values. Simulations show the LNA at 180nm has a peak frequency of 1.04502GHz and noise figure of 259.722mdB, while the 90nm LNA peaks at 1.157GHz with a noise figure of 183.21mdB. Overall, the 90nm technology performs better with a lower noise figure. The author concludes smaller feature sizes allow for lower noise performance but further optimization is still possible.
This document provides an overview of RF plasma lighting technology. It discusses how RF plasma lighting is a bright, efficient, and long-lasting light source that produces light by powering a plasma with RF energy in an electrode-less quartz bulb. The key enabling technology is high power RF Si LDMOS transistors, which must be rugged to withstand the mismatched load conditions posed by plasma lighting. Recent improvements in LDMOS technology have enabled higher power densities and efficiencies required for this new lighting application.
Equal Split Wilkinson Power Divider - Project PresentationBhanwar Singh Meena
Â
This document discusses power dividers and describes the design of an equal-split Wilkinson power divider. It explains that a power divider splits an input power signal into two or more output signals of lower power. A Wilkinson power divider uses quarter wave transformers to split power in a 3dB ratio. The document then provides specifications for designing a Wilkinson power divider to operate at 2.4GHz using a substrate with permittivity of 3.38 and thickness of 1.524mm. It calculates the impedance values needed for the divider and uses a circuit design tool to calculate the microstrip line lengths and widths.
Design and Realization of 2.4GHz Branch-line CouplerQuang Binh Pham
Â
This project report describes the design and measurement of a 2.4GHz branch-line coupler. Binh Pham Quang designed the coupler using ADS software, simulating both the schematic and electromagnetic models. Key steps included calculating transmission line impedances from design specifications, synthesizing physical dimensions, and tuning for optimal performance. The coupler was then fabricated on an RO4350B substrate and measured using a vector network analyzer. Results showed good agreement with simulations, achieving high reflection coefficient, coupling, and directivity near the target frequency.
low pw and leakage current techniques for cmos circuitsAnamika Pancholi
Â
This document discusses various techniques to reduce leakage power in CMOS circuits, including the sleep mode approach, stack mode approach, leakage feedback approach, sleepy stack approach, and sleepy keeper approach. It then proposes a new LECTOR technique which introduces two leakage control transistors between the pull-up and pull-down circuits to ensure that one transistor is always near cutoff, reducing leakage power dissipation. The document concludes that leakage reduction is important for VLSI circuit design as scaling continues, and that the LECTOR method is effective at reducing leakage power in both active and standby modes.
IRJET- Comparison of Power Dissipation in Inverter using SVL TechniquesIRJET Journal
Â
This document compares the power dissipation of different inverter circuit designs including static CMOS, domino, and domino with self-controllable voltage level (SVL) techniques. It finds that an upper SVL domino circuit has the lowest power consumption of 25.167 ÎŒW, which is 35.88% less than a static CMOS inverter. SVL techniques like upper and lower SVL help reduce leakage power by increasing threshold voltage. Simulation results in a 90nm technology show that an upper SVL domino inverter has lower power dissipation and propagation delay compared to other designs.
This document summarizes research on gate leakage reduction techniques for deep submicron integrated circuits. It discusses how gate leakage has become a significant source of power dissipation as devices are scaled down, due to increased subthreshold leakage, gate oxide tunneling, and reverse bias junction leakage. The document then describes complementary pass transistor logic (CPL) and differential cascade voltage switch logic (DCVSL) as logic families that aim to reduce static power by using fewer transistors and eliminating inverters.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
This document describes 5 experiments related to fiber optic communication:
1. Studied the relationship between an input analog signal and received signal over 650nm fiber. Signals remained the same.
2. Studied digital fiber link. Input square wave was transmitted and received successfully.
3. Studied intensity modulation using an analog input. Signal was modulated, transmitted, demodulated and amplified back to original.
4. Studied intensity modulation using a digital input. Signal was modulated and reconstructed at receiver.
5. Described a frequency modulation system. A 1kHz sine wave frequency modulated a digital signal, which was transmitted and demodulated to recover the original signal.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
IR Drop Analysis and Its Reduction Techniques in Deep Submicron TechnologyIJERA Editor
Â
This paper presents a detailed conceptual analysis of IR Drop effect in deep submicron technologies and its reduction techniques. The IR Drop effect in power/ground network increases rapidly with technology scaling. This affects the timing of the design and hence the desired speed. It is shown that in present day designs, using well known reduction techniques such as wire sizing and decoupling capacitor insertion, may not be sufficient to limit the voltage fluctuations and hence, two more important methods such as selective glitch reduction technique and IR Drop reduction through combinational circuit partitioning are discussed and the issues related to all the techniques are revised.
A High Speed Pipelined Dynamic Circuit Implementation Using Modified TSPC Log...IDES Editor
Â
This document presents a modified True Single Phase Clock (TSPC) logic design style to implement high-speed pipelined circuits with improved performance. The modified style reduces transistor count by 40-50% compared to the standard TSPC style by allowing logic functions to be implemented using either the N-block or P-block. A 3-bit pipelined adder was designed using the modified style and showed a 46-47% reduction in transistors and 50% reduction in clock cycles compared to the standard style. The modified style offers benefits like lower transistor count, reduced latency, increased throughput, and lower power consumption for pipelined circuits.
4x4-bit 2PASCL Multiplier by Nazrul Anuar Nayannazrulanuar
Â
The document summarizes a paper on Two-Phase Clocked Adiabatic Static CMOS Logic (2PASCL). It introduces 2PASCL, which uses adiabatic switching to reduce power dissipation. It describes the principle and analysis of 2PASCL, presents application circuits including a 4-bit adder and 4x4-bit multiplier, and discusses the LSI implementation of a 4x4-bit 2PASCL multiplier chip in a 1.2um CMOS process. Simulation and measurement results show the 2PASCL circuits dissipate 35-77% less power compared to equivalent CMOS designs.
DESIGN AND ANALYSIS OF 2 GHz 130nm CMOS CASCODE LOW NOISE AMPLIFIER WITH INTE...csijjournal
Â
This work, illustrates the development of 2 GHz Low Noise Amplifier (LNA) interfaced with square truncated edge-fed right circularly polarized patch antenna. The LNA is simulated on Agilent ADS platform with TSMC 130nm RF CMOS process. The development of cascode amplifier and its optimization has been further exemplified. The developed LNA is tuned for 2 GHz and the performance is tuned for high stability factor of 4, Gain of 19 dB which is essential for any mobile device, Noise Figure (NF) of 1.15 dB with a P1dB point at -9 dBm. Further a truncated patch antenna with right circular polarization has been simulated on EMpro. The antenna has a gain of 6.1 dB in the azimuth plane. The simulated system can be further integrated to form the RF front end of TDD2000 LTE standard mobile device.
High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor G...iosrjce
Â
IOSR journal of VLSI and Signal Processing (IOSRJVSP) is a double blind peer reviewed International Journal that publishes articles which contribute new results in all areas of VLSI Design & Signal Processing. The goal of this journal is to bring together researchers and practitioners from academia and industry to focus on advanced VLSI Design & Signal Processing concepts and establishing new collaborations in these areas.Design and realization of microelectronic systems using VLSI/ULSI technologies require close collaboration among scientists and engineers in the fields of systems architecture, logic and circuit design, chips and wafer fabrication, packaging, testing and systems applications. Generation of specifications, design and verification must be performed at all abstraction levels, including the system, register-transfer, logic, circuit, transistor and process levels.
The document describes the design of a low noise amplifier (LNA) circuit for a wireless local area network (WLAN) operating at 2.4 GHz. Key goals of the design are to improve noise figure and gain performance. A single-stage LNA circuit is proposed using an NMOS transistor with inductive source degeneration. Simulation results show the designed LNA achieves a forward gain of 18.8-19.2 dB and a noise figure of 1.986 dB, with over 28 dB of reverse isolation at 2.4 GHz. The document discusses various design considerations for the LNA including gain, nonlinearity, matching, noise, output voltage swing, and stability.
M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.Suchitra goudar
Â
The document proposes designs for ternary logic gates based on single power supply voltage for CMOS technology. It describes the design of a simple ternary inverter (STI), negative ternary inverter (NTI), and positive ternary inverter (PTI) using only enhancement-type MOSFETs. Transistor widths and lengths are optimized to achieve the desired voltage transfer characteristics. Basic ternary logic gates including a ternary NAND (TNAND) and ternary NOR (TNOR) are also designed using a similar single-transistor approach. The proposed gate designs aim to reduce transistor count and power consumption compared to prior ternary logic designs.
IOSR journal of VLSI and Signal Processing (IOSRJVSP) is an open access journal that publishes articles which contribute new results in all areas of VLSI Design & Signal Processing. The goal of this journal is to bring together researchers and practitioners from academia and industry to focus on advanced VLSI Design & Signal Processing concepts and establishing new collaborations in these areas.
The document proposes a new low power technique called Variable Body Biasing to reduce leakage power in subthreshold dual mode logic circuits. It works by increasing the body-to-source voltage of the sleep transistor in sleep mode to increase its threshold voltage and reduce leakage current. Simulation results show the proposed logic switches between active and sleep modes and reduces average power and delay compared to traditional CMOS and Dual Mode Logic designs.
This document describes the design of a low noise amplifier (LNA) for wireless applications operating at 900 MHz. The LNA was implemented using a 0.13um RF CMOS technology and a cascode topology with inductive source degeneration. Simulation results showed the LNA has a gain of 26 dB, noise figure of 1.04 dB, input return loss of -14 dB, output return loss of -6.55 dB, reverse isolation of -39.76 dB, and power consumption of 115uW from a 2.5V supply. The LNA meets the requirements of low noise figure, high gain and low power consumption for a 900 MHz wireless application.
The document is an optical communication lab manual that outlines 8 experiments on optical fibers and fiber optic communication. Experiment 1 involves demonstrating different types of optical fibers and connectors. Experiment 2 establishes a 650nm fiber optic analog link. Experiment 3 establishes a 650nm digital fiber optic link. Experiment 4 studies intensity modulation using an analog input signal, transmitting it over fiber, and demodulating the output. Experiment 5 is similar but uses a digital input signal.
High performance novel dual stack gating technique for reduction of ground bo...eSAT Journals
Â
Abstract The development of digital integrated circuits is challenged by higher power consumption. The combination of higher clock speeds, greater functional integration, and smaller process geometries has contributed to significant growth in power density. Today leakage power has become an increasingly important issue in processor hardware and software design. So to reduce the leakages in the circuit many low power strategies are identified and experiments are carried out. But the leakage due to ground connection to the active part of the circuit is very higher than all other leakages. As it is mainly due to the back EMF of the ground connection we are calling it as ground bounce noise. To reduce this noise, different methodologies are designed. In this paper, a number of critical considerations in the sleep transistor design and implementation includes header or footer switch selection, sleep transistor distribution choices and sleep transistor gate length, width and body bias optimization for area, leakage and efficiency. Novel dual stack technique is proposed that reduces not only the leakage power but also dynamic power. The previous techniques are summarized and compared with this new approach and comparison of both the techniques is done with the help of Digital Schematic( DSCH ) and Microwind low power tools. Stacking power gating technique has been analyzed and the conditions for the important design parameters (Minimum ground bounce noise) have been derived. The Monte-Carlo simulation is performed in Microwind to calculate the values of all the needed parameters for comparison. Index Terms: Ground Bounce Noise ,Power gating schemes ,Static power dissipation, Dynamic power dissipation, Power gating parameters, Sleep transistors, Novel dual stack approach, Transistor leakage power
Design and Simulation of Low Noise Amplifiers at 180nm and 90nm TechnologiesIJERA Editor
Â
This document describes the design and simulation of low noise amplifiers (LNAs) at 180nm and 90nm technologies. The author presents the design methodology and calculations for component values. Simulations show the LNA at 180nm has a peak frequency of 1.04502GHz and noise figure of 259.722mdB, while the 90nm LNA peaks at 1.157GHz with a noise figure of 183.21mdB. Overall, the 90nm technology performs better with a lower noise figure. The author concludes smaller feature sizes allow for lower noise performance but further optimization is still possible.
This document provides an overview of RF plasma lighting technology. It discusses how RF plasma lighting is a bright, efficient, and long-lasting light source that produces light by powering a plasma with RF energy in an electrode-less quartz bulb. The key enabling technology is high power RF Si LDMOS transistors, which must be rugged to withstand the mismatched load conditions posed by plasma lighting. Recent improvements in LDMOS technology have enabled higher power densities and efficiencies required for this new lighting application.
Equal Split Wilkinson Power Divider - Project PresentationBhanwar Singh Meena
Â
This document discusses power dividers and describes the design of an equal-split Wilkinson power divider. It explains that a power divider splits an input power signal into two or more output signals of lower power. A Wilkinson power divider uses quarter wave transformers to split power in a 3dB ratio. The document then provides specifications for designing a Wilkinson power divider to operate at 2.4GHz using a substrate with permittivity of 3.38 and thickness of 1.524mm. It calculates the impedance values needed for the divider and uses a circuit design tool to calculate the microstrip line lengths and widths.
Design and Realization of 2.4GHz Branch-line CouplerQuang Binh Pham
Â
This project report describes the design and measurement of a 2.4GHz branch-line coupler. Binh Pham Quang designed the coupler using ADS software, simulating both the schematic and electromagnetic models. Key steps included calculating transmission line impedances from design specifications, synthesizing physical dimensions, and tuning for optimal performance. The coupler was then fabricated on an RO4350B substrate and measured using a vector network analyzer. Results showed good agreement with simulations, achieving high reflection coefficient, coupling, and directivity near the target frequency.
low pw and leakage current techniques for cmos circuitsAnamika Pancholi
Â
This document discusses various techniques to reduce leakage power in CMOS circuits, including the sleep mode approach, stack mode approach, leakage feedback approach, sleepy stack approach, and sleepy keeper approach. It then proposes a new LECTOR technique which introduces two leakage control transistors between the pull-up and pull-down circuits to ensure that one transistor is always near cutoff, reducing leakage power dissipation. The document concludes that leakage reduction is important for VLSI circuit design as scaling continues, and that the LECTOR method is effective at reducing leakage power in both active and standby modes.
IRJET- Comparison of Power Dissipation in Inverter using SVL TechniquesIRJET Journal
Â
This document compares the power dissipation of different inverter circuit designs including static CMOS, domino, and domino with self-controllable voltage level (SVL) techniques. It finds that an upper SVL domino circuit has the lowest power consumption of 25.167 ÎŒW, which is 35.88% less than a static CMOS inverter. SVL techniques like upper and lower SVL help reduce leakage power by increasing threshold voltage. Simulation results in a 90nm technology show that an upper SVL domino inverter has lower power dissipation and propagation delay compared to other designs.
This document summarizes research on gate leakage reduction techniques for deep submicron integrated circuits. It discusses how gate leakage has become a significant source of power dissipation as devices are scaled down, due to increased subthreshold leakage, gate oxide tunneling, and reverse bias junction leakage. The document then describes complementary pass transistor logic (CPL) and differential cascade voltage switch logic (DCVSL) as logic families that aim to reduce static power by using fewer transistors and eliminating inverters.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
This document describes 5 experiments related to fiber optic communication:
1. Studied the relationship between an input analog signal and received signal over 650nm fiber. Signals remained the same.
2. Studied digital fiber link. Input square wave was transmitted and received successfully.
3. Studied intensity modulation using an analog input. Signal was modulated, transmitted, demodulated and amplified back to original.
4. Studied intensity modulation using a digital input. Signal was modulated and reconstructed at receiver.
5. Described a frequency modulation system. A 1kHz sine wave frequency modulated a digital signal, which was transmitted and demodulated to recover the original signal.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
IR Drop Analysis and Its Reduction Techniques in Deep Submicron TechnologyIJERA Editor
Â
This paper presents a detailed conceptual analysis of IR Drop effect in deep submicron technologies and its reduction techniques. The IR Drop effect in power/ground network increases rapidly with technology scaling. This affects the timing of the design and hence the desired speed. It is shown that in present day designs, using well known reduction techniques such as wire sizing and decoupling capacitor insertion, may not be sufficient to limit the voltage fluctuations and hence, two more important methods such as selective glitch reduction technique and IR Drop reduction through combinational circuit partitioning are discussed and the issues related to all the techniques are revised.
A High Speed Pipelined Dynamic Circuit Implementation Using Modified TSPC Log...IDES Editor
Â
This document presents a modified True Single Phase Clock (TSPC) logic design style to implement high-speed pipelined circuits with improved performance. The modified style reduces transistor count by 40-50% compared to the standard TSPC style by allowing logic functions to be implemented using either the N-block or P-block. A 3-bit pipelined adder was designed using the modified style and showed a 46-47% reduction in transistors and 50% reduction in clock cycles compared to the standard style. The modified style offers benefits like lower transistor count, reduced latency, increased throughput, and lower power consumption for pipelined circuits.
4x4-bit 2PASCL Multiplier by Nazrul Anuar Nayannazrulanuar
Â
The document summarizes a paper on Two-Phase Clocked Adiabatic Static CMOS Logic (2PASCL). It introduces 2PASCL, which uses adiabatic switching to reduce power dissipation. It describes the principle and analysis of 2PASCL, presents application circuits including a 4-bit adder and 4x4-bit multiplier, and discusses the LSI implementation of a 4x4-bit 2PASCL multiplier chip in a 1.2um CMOS process. Simulation and measurement results show the 2PASCL circuits dissipate 35-77% less power compared to equivalent CMOS designs.
High performance low leakage power full subtractor circuit design using rate ...eSAT Publishing House
Â
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology.
A New Active Snubber Circuit for PFC ConverterIDES Editor
Â
In this paper, a new active snubber circuit is
developed for PFC converter. This active snubber circuit
provides zero voltage transition (ZVT) turn on and zero
current transition (ZCT) turn off for the main switch
without any extra current or voltage stresses. Auxiliary
switch turns on and off with zero current switching (ZCS)
without voltage stress. Although there is a current stress
on the auxiliary switch, it is decreased by diverting it to
the output side with coupling inductance. The proposed
PFC converter controls output current and voltage in
very wide line and load range. This PFC converter has
simple structure, low cost and ease of control as well. In
this study, a detailed steady state analysis of the new
converter is presented, and the theoretical analysis is
verified exactly by 100 kHz and 300 W prototype. This
prototype has 98% total efficiency and 0.99 power factor
with sinusoidal current shape.
This document lists VLSI IEEE projects from 2016. It provides project codes and names for 24 projects related to areas like multipliers, floating point arithmetic, DSP acceleration, FIR filters, error correction codes, FFTs, modular arithmetic, and neuromorphic circuits. The document also provides contact information for Newzen Infotech, the organization hosting the projects. Most projects are listed as in the "Front End" stage, but a few related to analog/mixed-signal design and low-power circuits are in the "Back End" stage.
The document describes an ultra-low power asynchronous logic in-situ self-adaptive VDD system for wireless sensor networks. The proposed system uses quasi-delay-insensitive asynchronous logic implemented with pre-charged static logic circuits. It features a self-adaptive VDD scaling system that dynamically adjusts the supply voltage based on processing requirements to minimize power consumption while operating robustly in the sub-threshold voltage region. The system design includes an asynchronous filter bank module powered by the adjustable VDD rail and a power management module that monitors circuit delays to determine the optimal VDD setting.
This ppt is about full adder design using pass transistor logic. This circuit describe power reduction using proposed cell as standard element in technology library design for ultra low power. we provide guidance to m.tech students in thier final year research projects. We assist on IEEE projects to M.tech or PhD students. Students can contact us for VLSI Projects, Antenna Projects, MATLAB Projects
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUEAnil Yadav
Â
To design a low power processor, low power Arithmetic and Logic Unit (ALU) is required, since ALU is one of the core components and most power hungry sections in a microprocessor that carries out the arithmetic and logic operations. Clock gating is the power saving technique used for low power design, it switches off the module which is not active as decided by selection line.
This techniques is applied in ALU to reduce clock power and dynamic power consumption of ALU. Control signal gating technique provides power saving by reducing unnecessary switching activity on datapath buses, when a bus is not going to be used and it will be held in a quiescent state by stopping the propagation of switching activity through the module(s) driving the bus. For
designing a proposed Power Efficient ALU, both the clock gating and the control-signal gating techniques are introduced for minimizing the clock power and to reduce the unnecessary switching activity of data path. Functionality of proposed ALU is verified by using Xilinx tool and power analysis is carried out by using Xilinx XâPower analysis tool.
An introduction to digital signal processors 1Hossam Hassan
Â
This document provides an introduction to digital signal processors (DSPs). It discusses the history and evolution of computers and microprocessors. DSPs were introduced in 1983 and were specifically designed for digital signal processing applications like telecommunications. The document outlines the system architecture of DSPs and discusses Von Neumann and Harvard architectures. It also covers criteria for choosing a microprocessor, including instruction set functionality, architecture, speed, and power consumption. Building blocks of embedded systems like the microprocessor, memory, peripherals, and bus system are described.
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
Â
In modern high performance integrated circuits, maximum of the total active mode energy is
consumed due to leakage current. SRAM cell array is main source of leakage current since majority of
transistor are utilized for on-chip memory in today high performance microprocessor and system on chip
designs. Therefore the design of low leakage SRAM is required. Reducing power dissipation, supply voltage,
leakage currents, area of chip are the most important parameters in today`s VLSI designs. But scaling of these
parameters will lead to drastic increase in sub threshold leakage currents and power dissipation because of that
performance of the design is degraded. So to overcome these issues it is better to concentrate on reduction of
active leakage currents and dynamic power dissipation by using power reduction techniques. In this paper 9T
SRAM (data retention p-gated) cell for low voltage and energy constrain application is analyzed with respect to
power dissipation, area and delay. The analyzed design of 9T SRAM cell with MTCMOS technique has been
proposed. Designed circuits are simulated in Microwind 3.1 VLSI CAD Tool in 90 and 65nm CMOS technology.
1. Benign and malignant neoplasms can occur in the nasal cavity and paranasal sinuses. Common benign neoplasms include osteomas, fibrous dysplasias, inverted papillomas, and hemangiomas. Common malignant neoplasms are carcinomas of the maxillary sinus and nasal cavity.
2. Presenting symptoms vary depending on the location and extent of the tumor but may include nasal obstruction, epistaxis, facial pain or swelling. Diagnosis involves endoscopy, imaging like CT scans, and biopsy.
3. Treatment involves surgical excision and may also include radiation therapy or chemotherapy, especially for malignant tumors. Surgical approaches depend on the size and location of the tumor
The document describes the Nokia Morph, a theoretical future device concept based on nanotechnology. The Morph would have a flexible, stretchable design and transparent electronics, enabled by advances in nanomaterials and manufacturing. It was a collaboration between Nokia Research Center and Cambridge University to envision mobile technology of the future. While not yet commercially available, the Morph concept demonstrates the potential of nanotechnology to transform device form factors and functionality through properties like self-cleaning, sensing, and energy harvesting.
This document discusses the radiological anatomy of the paranasal sinuses and provides guidance on using CT scans to evaluate the anatomy. It outlines key anatomical structures visible on coronal and axial CT scans such as the frontal sinus, uncinate process, ethmoid bulla, sphenoid sinus, and their common variations. It also discusses technology advances in CT scanning and basic concepts for evaluating and positioning patients for sinus CT scans.
The memristor is a two-terminal electronic component theorized in 1971 as a fourth fundamental circuit element. In 2008, HP Labs created the first physical memristor using titanium dioxide. Memristors behave similarly to synapses in the brain and could be used to build artificial neural networks for applications like neuromorphic computing and non-volatile memory. Major companies and research institutions are now working to further develop memristors and explore their potential applications in the future.
This document provides information on various radiographic views and examinations of the head and neck region. It discusses Water's view for imaging the maxillary sinuses, basic positioning for paranasal sinus views, Caldwell's view for the ethmoid and frontal sinuses, and examples of common sinus findings on radiography like mucosal thickening and retention cysts. It also summarizes techniques for imaging the nasopharynx, neck, cervical spine, trachea, and larynx. Common foreign body locations and aspiration findings are outlined. Sialography for salivary gland evaluation and bronchography are briefly described. Finally, it reviews skull radiographic views like PA, Caldwell, Chamberlain-Townes, and lateral projections as
Microprocessors and microcontrollers short answer questions and answersAbhijith Augustine
Â
The document contains questions and answers related to microprocessors and computer architecture. It defines a microprocessor as a CPU fabricated on a single chip that fetches and executes instructions. The basic units of a microprocessor are described as an ALU, registers, and a control unit. Key features of the Intel 8086 microprocessor from 1978 are provided, such as its 16-bit architecture, instruction set, and pin configuration. The differences between a microprocessor and microcontroller are explained. [END SUMMARY]
TYPES OF MEMORIES AND STORAGE DEVICE AND COMPUTER Rajat More
Â
Memory refers to the physical devices used to store programs and data in a computer. Main memory is divided into RAM and ROM. RAM is read-write memory that uses transistors and capacitors to store each bit. There are two types of RAM: static RAM which does not need refreshing but is expensive, and dynamic RAM which needs refreshing but has higher density. ROM is read-only and stores permanent instructions. There are also programmable ROMs like PROM, EPROM, and EEPROM that can be programmed and erased in different ways. Caches and secondary storage supplement main memory and improve performance. Common secondary storage devices include magnetic disks, tapes and optical discs.
In this paper a review of the dynamic logic circuit design has been done as these circuits are used due to their high performance, high speed and less number of transistors in the circuit. The number of required transistors is lesser than the CMOS logic style. The OR dynamic logic style is not applicable as it has low noise tolerance at the dynamic stage which can change the output. Domino logic uses one static CMOS inverter at the output of dynamic node which is more noise immune and has less capacitance at the output node.
High Speed Low Power CMOS Domino or Gate Design in 16nm Technologycsandit
Â
Dynamic logic circuits provide more compact designs with faster switching speeds and low power consumption compared with the other CMOS design styles. This paper proposes a wide
fan-in circuit with increased switching speed and noise immunity. Speed is achieved by quickly removing the charge on the dynamic node during evaluation phase, compared to the other
circuits. The design also offers very less Power Delay Product (PDP). The design is exercised for 20% variation in supply voltage.
Iaetsd design and analysis of low-leakage high-speedIaetsd Iaetsd
Â
This document summarizes and compares different domino circuit designs for wide fan-in OR gates. It describes 8 different domino circuit techniques: 1) Standard Footless Domino, 2) Conditional-Keeper Domino, 3) High-Speed Domino, 4) Leakage Current Replica Keeper Domino, 5) Controlled Keeper by Current-Comparison Domino, 6) Diode Footed Domino, 7) Diode-Partitioned Domino, and 8) Wide Fan-In OR gate Current Comparison Domino. It simulates these circuits in 180nm, 130nm, and 90nm technologies and compares their power, propagation delay, energy, and energy-delay product performance.
A Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic StructureIJERA Editor
Â
Various circuit design techniques has been presented to improve noise tolerance of the proposed CGS logic families. Noise in deep submicron technology limits the reliability and performance of ICs. The ANTE (Average Noise Threshold Energy) metric is used for the analysis of noise tolerance of proposed CGS. A 2-input NAND and NOR gate is designed by the proposed technique. Simulation results for a 2-input NAND gate at clock gated logic show that the proposed noise tolerant circuit achieves 1.79X ANTE improvement along with the reduction in leakage power. Continuous scaling of technology towards the manometer range significantly increases leakage current level and the effect of noise. This research can be further extended for performance optimization in terms of power, speed, area and noise immunity.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Power Gating Based Ground Bounce Noise ReductionIJERA Editor
Â
As low power circuits are most popular the decrease in supply voltage leads to increase in leakage power with respect to the technology scaling. So for removing this kind of leakages and to provide a better power efficiency many power gating techniques are used. But the leakage due to ground connection to the active part of the circuit is very high rather than all other leakages. As it is mainly due to the back EMF of the ground connection it was called it as ground bounce noise. To reduce this noise different methodologies are designed. In this paper the design of such an efficient technique related to ground bounce noise reduction using power gating circuits and comparing the results using DSCH and Microwind low power tools. In this paper the analysis of adders such as full adders using different types of power gated circuits using low power VLSI design techniques and to present the comparison results between different power gating methods.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...IOSRJVSP
Â
: In complementary metal oxide semiconductor (CMOS) the power dissipation predominantly comprises of dynamic as well as static power. Prior to introduction of âDeep submicron technologiesâ it is observed that in case of technology process with feature size larger than 1micro meter, the consumption of dynamic power out of the overall power consumption of any circuit is more than 90%,while that of static power is negligible. But in the present deep submicron technologies in order to, reduce the dynamic power consumption in VLSI circuits, the power supply is being scaled down, keeping in view the principle that the dynamic power dissipated is directly proportional to the square of the supply voltage (Vdd).The threshold voltage also needs to be reduced since the supply voltage is scaled down. Overcoming the inherent limitations in the existing method for leakage power reduction, The Lector (Leakage controlled transistor) technique which works efficiently both in active and idle states of the circuit and results in better leakage power reduction is now proposed. The proposed system presents the analysis of power on â64-bit SRAM array using leakage controlled transistor technique
International Journal of Engineering Research and Applications (IJERA) aims to cover the latest outstanding developments in the field of all Engineering Technologies & science.
International Journal of Engineering Research and Applications (IJERA) is a team of researchers not publication services or private publications running the journals for monetary benefits, we are association of scientists and academia who focus only on supporting authors who want to publish their work. The articles published in our journal can be accessed online, all the articles will be archived for real time access.
Our journal system primarily aims to bring out the research talent and the works done by sciaentists, academia, engineers, practitioners, scholars, post graduate students of engineering and science. This journal aims to cover the scientific research in a broader sense and not publishing a niche area of research facilitating researchers from various verticals to publish their papers. It is also aimed to provide a platform for the researchers to publish in a shorter of time, enabling them to continue further All articles published are freely available to scientific researchers in the Government agencies,educators and the general public. We are taking serious efforts to promote our journal across the globe in various ways, we are sure that our journal will act as a scientific platform for all researchers to publish their works online.
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITAnil Yadav
Â
This document discusses sources of power consumption in digital CMOS circuits and techniques for low power VLSI design at the circuit level. It covers the four main sources of power consumption: leakage power, short-circuit power, static power, and switching power. It then discusses various low power design techniques at the circuit level, including transistor and gate sizing, equivalent pin ordering, network restructuring, transistor network partitioning, and low power flip-flop designs. The goal is to optimize power consumption through techniques like minimizing switching activity, reducing capacitive loads, and optimizing transistor sizing.
This document analyzes and compares different existing domino logic full adder circuits and proposes a new hybrid logic domino full adder circuit. It finds that the proposed circuit provides better performance in terms of area, power consumption, and number of transistors compared to existing circuits. The document also presents two applications of the proposed full adder circuit: a 1-bit ALU and a 2-bit comparator. All circuits are designed and simulated using DSCH and MICROWIND tools, and simulation results show that the proposed full adder and its applications reduce power consumption and area over previous designs.
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...IJSRD
Â
This paper focus on the various sources of power dissipation in modern VLSI circuits. This paper also discuss the importance of designing low power VLSI circuits along with various techniques of power reduction and its advantages and disadvantages. It is basically a comparative study between various power reduction techniques in modern VLSI circuits.
A novel approach for leakage power reduction techniques in 65nm technologiesVLSICS Design
Â
The rapid progress in semiconductor technology have led the feature sizes of transistor to be shrunk there
by evolution of Deep Sub-Micron (DSM) technology; there by the extremely complex functionality is
enabled to be integrated on a single chip. In the growing market of mobile hand-held devices used all over
the world today, the battery-powered electronic system forms the backbone. To maximize the battery life,
the tremendous computational capacity of portable devices such as notebook computers, personal
communication devices (mobile phones, pocket PCs, PDAs), hearing aids and implantable pacemakers has
to be realized with very low power requirements. Leakage power consumption is one of the major technical
problem in DSM in CMOS circuit design. A comprehensive study and analysis of various leakage power
minimization techniques have been presented in this paper a novel Leakage reduction technique is
developed in Cadence virtuoso in 65nm regim with the combination of stack with sleepy keeper approach
with Low Vth & High Vth which reduces the Average Power with respect Basic Nand Gate 29.43%, 39.88%,
Force Stack 56.98, 63.01%, sleep transistor with Low Vth & High Vth 13.90, 26.61% & 33.03%, 75.24%
with respect to sleepy Keeper 93.70, 56.01% of Average Power is saved.
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIESVLSICS Design
Â
The document presents a novel approach called "stacking with sleepy keeper" for reducing leakage power in 65nm CMOS technologies. It combines transistor stacking, sleep transistors, and sleepy keeper techniques. Simulation results show the proposed approach significantly reduces average and static power compared to basic NAND gates and other techniques. For a 2-input NAND gate, the proposed approach with high Vth transistors reduces average power by 97.32% and static power by 99.24% compared to a basic NAND gate. When implemented in an SRAM cell, the proposed approach also improves power, delay, and power-delay product metrics.
Performance Analysis of Encoder in Different Logic Techniques for High-Speed ...Achintya Kumar
Â
In designing a system, we can replace cell components by appropriate technique based cell so that the noise margin of overall circuit is improved. In future we can also implement some techniques for sequential circuits.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
The document summarizes two new buffer circuit designs for footed domino logic that aim to reduce power consumption. The proposed circuits minimize redundant switching at the output node during the precharge phase, which saves power. Simulation results using a 180nm CMOS technology show that the proposed circuits reduce power consumption and power-delay product compared to a standard domino circuit across different logic functions, loading conditions, clock frequencies, temperatures and power supplies. Power savings of up to 36% were achieved at higher operating frequencies.
In this paper we propose two buffer circuits for footed domino logic circuit. It minimizes redundant switching at the output node. These circuits prevent propagation of precharge pulse to the output node during precharge phase which saves power consumption. Simulation is done using 0.18”m CMOS technology. We have calculated the power consumption, delay and power delay product of proposed circuits and compared the results with existing standard domino circuit for different logic function, loading condition, clock frequency, temperature and power supply. Our proposed circuits reduce power consumption and power delay product as compared to standard domino circuit.
The document discusses the need for low power VLSI circuit design. Portable devices require low power consumption to extend battery life as battery energy density is still limited. Reducing power is also important for reliability and cooling complex high performance chips. Power in CMOS circuits has three main components - dynamic switching power when nodes charge and discharge, short circuit power during transitions, and leakage power even when static. Dynamic power depends on load capacitance, supply voltage, and switching activity. Lowering voltage significantly reduces dynamic power but increases delay.
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
Â
This document summarizes a research paper that proposes low-leakage 1-bit full adder cell designs for reducing power consumption in nanometer technologies. It introduces two modified full adder circuit designs (Design1 and Design2) that apply transistor resizing and power gating techniques. Simulation results show that the proposed designs reduce standby leakage power and active power compared to a conventional 28-transistor CMOS full adder. Design1 sizes transistors with a 3.17x PMOS-to-NMOS ratio while Design2 uses a 1.5x ratio. Both aim to minimize area and leakage through optimized transistor widths and lengths.
Similar to A New Ultra Low-Power and Noise Tolerant Circuit Technique for CMOS Domino Logic (20)
Power System State Estimation - A ReviewIDES Editor
Â
This document provides a review of power system state estimation techniques. It discusses both static and dynamic state estimation algorithms. For static state estimation, it covers weighted least squares, decoupled, and robust estimation methods. Weighted least squares is commonly used but can have numerical instability issues. Decoupled state estimation approximates the gain matrix for faster computation. Robust estimation uses M-estimators and other techniques to handle outliers and bad data. Dynamic state estimation applies Kalman filtering, leapfrog algorithms, and other methods to continuously monitor system states over time.
Artificial Intelligence Technique based Reactive Power Planning Incorporating...IDES Editor
Â
This document summarizes a research paper that proposes using artificial intelligence techniques and FACTS controllers for reactive power planning in real-time power transmission systems. The paper formulates the reactive power planning problem and incorporates flexible AC transmission system (FACTS) devices like static VAR compensators (SVC), thyristor controlled series capacitors (TCSC), and unified power flow controllers (UPFC). Evolutionary algorithms like evolutionary programming (EP) and differential evolution (DE) are applied to find the optimal locations and settings of the FACTS controllers to minimize losses and costs. Simulation results on IEEE 30-bus and 72-bus Indian test systems show that UPFC performs best in reducing losses compared to SVC and TCSC.
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...IDES Editor
Â
Damping of power system oscillations with the help
of proposed optimal Proportional Integral Derivative Power
System Stabilizer (PID-PSS) and Static Var Compensator
(SVC)-based controllers are thoroughly investigated in this
paper. This study presents robust tuning of PID-PSS and
SVC-based controllers using Genetic Algorithms (GA) in
multi machine power systems by considering detailed model
of the generators (model 1.1). The effectiveness of FACTSbased
controllers in general and SVC-based controller in
particular depends upon their proper location. Modal
controllability and observability are used to locate SVCâbased
controller. The performance of the proposed controllers is
compared with conventional lead-lag power system stabilizer
(CPSS) and demonstrated on 10 machines, 39 bus New England
test system. Simulation studies show that the proposed genetic
based PID-PSS with SVC based controller provides better
performance.
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...IDES Editor
Â
This paper presents the need to operate the power
system economically and with optimum levels of voltages has
further led to an increase in interest in Distributed
Generation. In order to reduce the power losses and to improve
the voltage in the distribution system, distributed generators
(DGs) are connected to load bus. To reduce the total power
losses in the system, the most important process is to identify
the proper location for fixing and sizing of DGs. It presents a
new methodology using a new population based meta heuristic
approach namely Artificial Bee Colony algorithm(ABC) for
the placement of Distributed Generators(DG) in the radial
distribution systems to reduce the real power losses and to
improve the voltage profile, voltage sag mitigation. The power
loss reduction is important factor for utility companies because
it is directly proportional to the company benefits in a
competitive electricity market, while reaching the better power
quality standards is too important as it has vital effect on
customer orientation. In this paper an ABC algorithm is
developed to gain these goals all together. In order to evaluate
sag mitigation capability of the proposed algorithm, voltage
in voltage sensitive buses is investigated. An existing 20KV
network has been chosen as test network and results are
compared with the proposed method in the radial distribution
system.
Line Losses in the 14-Bus Power System Network using UPFCIDES Editor
Â
Controlling power flow in modern power systems
can be made more flexible by the use of recent developments
in power electronic and computing control technology. The
Unified Power Flow Controller (UPFC) is a Flexible AC
transmission system (FACTS) device that can control all the
three system variables namely line reactance, magnitude and
phase angle difference of voltage across the line. The UPFC
provides a promising means to control power flow in modern
power systems. Essentially the performance depends on proper
control setting achievable through a power flow analysis
program. This paper presents a reliable method to meet the
requirements by developing a Newton-Raphson based load
flow calculation through which control settings of UPFC can
be determined for the pre-specified power flow between the
lines. The proposed method keeps Newton-Raphson Load Flow
(NRLF) algorithm intact and needs (little modification in the
Jacobian matrix). A MATLAB program has been developed to
calculate the control settings of UPFC and the power flow
between the lines after the load flow is converged. Case studies
have been performed on IEEE 5-bus system and 14-bus system
to show that the proposed method is effective. These studies
indicate that the method maintains the basic NRLF properties
such as fast computational speed, high degree of accuracy and
good convergence rate.
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...IDES Editor
Â
The size and shape of opening in dam causes the
stress concentration, it also causes the stress variation in the
rest of the dam cross section. The gravity method of the analysis
does not consider the size of opening and the elastic property
of dam material. Thus the objective of study is comprises of
the Finite Element Method which considers the size of
opening, elastic property of material, and stress distribution
because of geometric discontinuity in cross section of dam.
Stress concentration inside the dam increases with the opening
in dam which results in the failure of dam. Hence it is
necessary to analyses large opening inside the dam. By making
the percentage area of opening constant and varying size and
shape of opening the analysis is carried out. For this purpose
a section of Koyna Dam is considered. Dam is defined as a
plane strain element in FEM, based on geometry and loading
condition. Thus this available information specified our path
of approach to carry out 2D plane strain analysis. The results
obtained are then compared mutually to get most efficient
way of providing large opening in the gravity dam.
Assessing Uncertainty of Pushover Analysis to Geometric ModelingIDES Editor
Â
Pushover Analysis a popular tool for seismic
performance evaluation of existing and new structures and is
nonlinear Static procedure where in monotonically increasing
loads are applied to the structure till the structure is unable
to resist the further load .During the analysis, whatever the
strength of concrete and steel is adopted for analysis of
structure may not be the same when real structure is
constructed and the pushover analysis results are very sensitive
to material model adopted, geometric model adopted, location
of plastic hinges and in general to procedure followed by the
analyzer. In this paper attempt has been made to assess
uncertainty in pushover analysis results by considering user
defined hinges and frame modeled as bare frame and frame
with slab modeled as rigid diaphragm and results compared
with experimental observations. Uncertain parameters
considered includes the strength of concrete, strength of steel
and cover to the reinforcement which are randomly generated
and incorporated into the analysis. The results are then
compared with experimental observations.
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...IDES Editor
Â
This document summarizes and analyzes secure multi-party negotiation protocols for electronic payments in mobile computing. It presents a framework for secure multi-party decision protocols using lightweight implementations. The main focus is on synchronizing security features to avoid agreement manipulation and reduce user traffic. The paper describes negotiation between an auctioneer and bidders, showing multiparty security is better than existing systems. It analyzes the performance of encryption algorithms like ECC, XTR, and RSA for use in the multiparty negotiation protocols.
Selfish Node Isolation & Incentivation using Progressive ThresholdsIDES Editor
Â
The problems associated with selfish nodes in
MANET are addressed by a collaborative watchdog approach
which reduces the detection time for selfish nodes thereby
improves the performance and accuracy of watchdogs[1]. In
the related works they make use of credit based systems, reputation
based mechanisms, pathrater and watchdog mechanism
to detect such selfish nodes. In this paper we follow an approach
of collaborative watchdog which reduces the detection
time for selfish nodes and also involves the removal of such
selfish nodes based on some progressively assessed thresholds.
The threshold gives the nodes a chance to stop misbehaving
before it is permanently deleted from the network.
The node passes through several isolation processes before it
is permanently removed. Another version of AODV protocol
is used here which allows the simulation of selfish nodes in
NS2 by adding or modifying log files in the protocol.
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...IDES Editor
Â
Wireless sensor networks are networks having non
wired infrastructure and dynamic topology. In OSI model each
layer is prone to various attacks, which halts the performance
of a network .In this paper several attacks on four layers of
OSI model are discussed and security mechanism is described
to prevent attack in network layer i.e wormhole attack. In
Wormhole attack two or more malicious nodes makes a covert
channel which attracts the traffic towards itself by depicting a
low latency link and then start dropping and replaying packets
in the multi-path route. This paper proposes promiscuous mode
method to detect and isolate the malicious node during
wormhole attack by using Ad-hoc on demand distance vector
routing protocol (AODV) with omnidirectional antenna. The
methodology implemented notifies that the nodes which are
not participating in multi-path routing generates an alarm
message during delay and then detects and isolate the
malicious node from network. We also notice that not only
the same kind of attacks but also the same kind of
countermeasures can appear in multiple layer. For example,
misbehavior detection techniques can be applied to almost all
the layers we discussed.
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...IDES Editor
Â
The recent advancements in the wireless technology
and their wide-spread deployment have made remarkable
enhancements in efficiency in the corporate and industrial
and Military sectors The increasing popularity and usage of
wireless technology is creating a need for more secure wireless
Ad hoc networks. This paper aims researched and developed
a new protocol that prevents wormhole attacks on a ad hoc
network. A few existing protocols detect wormhole attacks but
they require highly specialized equipment not found on most
wireless devices. This paper aims to develop a defense against
wormhole attacks as an Anti-worm protocol which is based on
responsive parameters, that does not require as a significant
amount of specialized equipment, trick clock synchronization,
no GPS dependencies.
Cloud Security and Data Integrity with Client Accountability FrameworkIDES Editor
Â
This document summarizes a proposed cloud security and data integrity framework that provides client accountability. The framework aims to address issues like lack of user control over cloud data, need for data transparency and tracking, and ensuring data integrity. It proposes using JAR (Java Archive) files for data sharing due to benefits like portability. The framework incorporates client-side verification using MD5 hashing, digital signature-based authentication of JAR files, and use of HMAC to ensure data integrity. It also uses password-based encryption of log files to keep them tamper-proof. The framework is intended to provide both accountability and security for data sharing in cloud environments.
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetIDES Editor
Â
A System state in HTTP botnet uses HTTP protocol
for the creation of chain of Botnets thereby compromising
other systems. By using HTTP protocol and port number 80,
attacks can not only be hidden but also pass through the
firewall without being detected. The DPR based detection
leads to better analysis of botnet attacks [3]. However, it
provides only probabilistic detection of the attacker and also
time consuming and error prone. This paper proposes a Genetic
algorithm based layered approach for detecting as well as
preventing botnet attacks. The paper reviews p2p firewall
implementation which forms the basis of filtering.
Performance evaluation is done based on precision, F-value
and probability. Layered approach reduces the computation
and overall time requirement [7]. Genetic algorithm promises
a low false positive rate.
Enhancing Data Storage Security in Cloud Computing Through SteganographyIDES Editor
Â
This document summarizes a research paper that proposes a method for enhancing data security in cloud computing through steganography. The method hides user data in digital images stored on cloud servers. When data needs to be accessed, it is extracted from the images. The document outlines the cloud architecture and security issues addressed. It then describes the proposed system architecture, security model, and data storage and retrieval process. Data is partitioned and hidden in multiple images to improve security. The goal is to prevent unauthorized access to user data stored on cloud servers.
The main tasks of a Wireless Sensor Network
(WSN) are data collection from its nodes and communication
of this data to the base station (BS). The protocols used for
communication among the WSN nodes and between the WSN
and the BS, must consider the resource constraints of nodes,
battery energy, computational capabilities and memory. The
WSN applications involve unattended operation of the network
over an extended period of time. In order to extend the lifetime
of a WSN, efficient routing protocols need to be adopted. The
proposed low power routing protocol based on tree-based
network structure reliably forwards the measured data towards
the BS using TDMA. An energy consumption analysis of the
WSN making use of this protocol is also carried out. It is
found that the network is energy efficient with an average
duty cycle of 0:7% for the WSN nodes. The OmNET++
simulation platform along with MiXiM framework is made
use of.
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...IDES Editor
Â
The security of authentication of internet based
co-banking services should not be susceptible to high risks.
The passwords are highly vulnerable to virus attacks due to
the lack of high end embedding of security methods. In order
for the passwords to be more secure, people are generally
compelled to select jumbled up character based passwords
which are not only less memorable but are also equally prone
to insecurity. Multiple use of distributed shares has been
studied to solve the problem of authentication by algorithms
based on thresholding of pixels in image processing and visual
cryptography concepts where the subset of shares is considered
for the recovery of the original image for authentication using
correlation function[1][2].The main disadvantage in the above
study is the plain storage of shares and also one of the shares
is being supplied to the customer, which will lead to the
possibility of misuse by a third party. This paper proposes a
technique for scrambling of pixels by key based random
permutation (KBRP) within the shares before the
authentication has been attempted. Total number of shares to
be created is dependent on the multiplicity of ownership of
the account. By this method the problem of uncertainty among
the customers with regard to security, storage, retrieval of
holding of half of the shares is minimized.
This paper presents a trifocal Rotman Lens Design
approach. The effects of focal ratio and element spacing on
the performance of Rotman Lens are described. A three beam
prototype feeding 4 element antenna array working in L-band
has been simulated using RLD v1.7 software. Simulated
results show that the simulated lens has a return loss of â
12.4dB at 1.8GHz. Beam to array port phase error variation
with change in the focal ratio and element spacing has also
been investigated.
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesIDES Editor
Â
Hyperspectral images can be efficiently compressed
through a linear predictive model, as for example the one
used in the SLSQ algorithm. In this paper we exploit this
predictive model on the AVIRIS images by individuating,
through an off-line approach, a common subset of bands, which
are not spectrally related with any other bands. These bands
are not useful as prediction reference for the SLSQ 3-D
predictive model and we need to encode them via other
prediction strategies which consider only spatial correlation.
We have obtained this subset by clustering the AVIRIS bands
via the clustering by compression approach. The main result
of this paper is the list of the bands, not related with the
others, for AVIRIS images. The clustering trees obtained for
AVIRIS and the relationship among bands they depict is also
an interesting starting point for future research.
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...IDES Editor
Â
A microelectronic circuit of block-elements
functionally analogous to two hydrogen bonding networks is
investigated. The hydrogen bonding networks are extracted
from Ăą-lactamase protein and are formed in its active site.
Each hydrogen bond of the network is described in equivalent
electrical circuit by three or four-terminal block-element.
Each block-element is coded in Matlab. Static and dynamic
analyses are performed. The resultant microelectronic circuit
analogous to the hydrogen bonding network operates as
current mirror, sine pulse source, triangular pulse source as
well as signal modulator.
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...IDES Editor
Â
In this paper a method is proposed to discriminate
real world scenes in to natural and manmade scenes of similar
depth. Global-roughness of a scene image varies as a function
of image-depth. Increase in image depth leads to increase in
roughness in manmade scenes; on the contrary natural scenes
exhibit smooth behavior at higher image depth. This particular
arrangement of pixels in scene structure can be well explained
by local texture information in a pixel and its neighborhood.
Our proposed method analyses local texture information of a
scene image using texture unit matrix. For final classification
we have used both supervised and unsupervised learning using
K-Nearest Neighbor classifier (KNN) and Self Organizing
Map (SOM) respectively. This technique is useful for online
classification due to very less computational complexity.
Taking AI to the Next Level in Manufacturing.pdfssuserfac0301
Â
Read Taking AI to the Next Level in Manufacturing to gain insights on AI adoption in the manufacturing industry, such as:
1. How quickly AI is being implemented in manufacturing.
2. Which barriers stand in the way of AI adoption.
3. How data quality and governance form the backbone of AI.
4. Organizational processes and structures that may inhibit effective AI adoption.
6. Ideas and approaches to help build your organization's AI strategy.
zkStudyClub - LatticeFold: A Lattice-based Folding Scheme and its Application...Alex Pruden
Â
Folding is a recent technique for building efficient recursive SNARKs. Several elegant folding protocols have been proposed, such as Nova, Supernova, Hypernova, Protostar, and others. However, all of them rely on an additively homomorphic commitment scheme based on discrete log, and are therefore not post-quantum secure. In this work we present LatticeFold, the first lattice-based folding protocol based on the Module SIS problem. This folding protocol naturally leads to an efficient recursive lattice-based SNARK and an efficient PCD scheme. LatticeFold supports folding low-degree relations, such as R1CS, as well as high-degree relations, such as CCS. The key challenge is to construct a secure folding protocol that works with the Ajtai commitment scheme. The difficulty, is ensuring that extracted witnesses are low norm through many rounds of folding. We present a novel technique using the sumcheck protocol to ensure that extracted witnesses are always low norm no matter how many rounds of folding are used. Our evaluation of the final proof system suggests that it is as performant as Hypernova, while providing post-quantum security.
Paper Link: https://eprint.iacr.org/2024/257
Main news related to the CCS TSI 2023 (2023/1695)Jakub Marek
Â
An English đŹđ§ translation of a presentation to the speech I gave about the main changes brought by CCS TSI 2023 at the biggest Czech conference on Communications and signalling systems on Railways, which was held in Clarion Hotel Olomouc from 7th to 9th November 2023 (konferenceszt.cz). Attended by around 500 participants and 200 on-line followers.
The original Czech đšđż version of the presentation can be found here: https://www.slideshare.net/slideshow/hlavni-novinky-souvisejici-s-ccs-tsi-2023-2023-1695/269688092 .
The videorecording (in Czech) from the presentation is available here: https://youtu.be/WzjJWm4IyPk?si=SImb06tuXGb30BEH .
Monitoring and Managing Anomaly Detection on OpenShift.pdfTosin Akinosho
Â
Monitoring and Managing Anomaly Detection on OpenShift
Overview
Dive into the world of anomaly detection on edge devices with our comprehensive hands-on tutorial. This SlideShare presentation will guide you through the entire process, from data collection and model training to edge deployment and real-time monitoring. Perfect for those looking to implement robust anomaly detection systems on resource-constrained IoT/edge devices.
Key Topics Covered
1. Introduction to Anomaly Detection
- Understand the fundamentals of anomaly detection and its importance in identifying unusual behavior or failures in systems.
2. Understanding Edge (IoT)
- Learn about edge computing and IoT, and how they enable real-time data processing and decision-making at the source.
3. What is ArgoCD?
- Discover ArgoCD, a declarative, GitOps continuous delivery tool for Kubernetes, and its role in deploying applications on edge devices.
4. Deployment Using ArgoCD for Edge Devices
- Step-by-step guide on deploying anomaly detection models on edge devices using ArgoCD.
5. Introduction to Apache Kafka and S3
- Explore Apache Kafka for real-time data streaming and Amazon S3 for scalable storage solutions.
6. Viewing Kafka Messages in the Data Lake
- Learn how to view and analyze Kafka messages stored in a data lake for better insights.
7. What is Prometheus?
- Get to know Prometheus, an open-source monitoring and alerting toolkit, and its application in monitoring edge devices.
8. Monitoring Application Metrics with Prometheus
- Detailed instructions on setting up Prometheus to monitor the performance and health of your anomaly detection system.
9. What is Camel K?
- Introduction to Camel K, a lightweight integration framework built on Apache Camel, designed for Kubernetes.
10. Configuring Camel K Integrations for Data Pipelines
- Learn how to configure Camel K for seamless data pipeline integrations in your anomaly detection workflow.
11. What is a Jupyter Notebook?
- Overview of Jupyter Notebooks, an open-source web application for creating and sharing documents with live code, equations, visualizations, and narrative text.
12. Jupyter Notebooks with Code Examples
- Hands-on examples and code snippets in Jupyter Notebooks to help you implement and test anomaly detection models.
This presentation provides valuable insights into effective cost-saving techniques on AWS. Learn how to optimize your AWS resources by rightsizing, increasing elasticity, picking the right storage class, and choosing the best pricing model. Additionally, discover essential governance mechanisms to ensure continuous cost efficiency. Whether you are new to AWS or an experienced user, this presentation provides clear and practical tips to help you reduce your cloud costs and get the most out of your budget.
Digital Marketing Trends in 2024 | Guide for Staying AheadWask
Â
https://www.wask.co/ebooks/digital-marketing-trends-in-2024
Feeling lost in the digital marketing whirlwind of 2024? Technology is changing, consumer habits are evolving, and staying ahead of the curve feels like a never-ending pursuit. This e-book is your compass. Dive into actionable insights to handle the complexities of modern marketing. From hyper-personalization to the power of user-generated content, learn how to build long-term relationships with your audience and unlock the secrets to success in the ever-shifting digital landscape.
Programming Foundation Models with DSPy - Meetup SlidesZilliz
Â
Prompting language models is hard, while programming language models is easy. In this talk, I will discuss the state-of-the-art framework DSPy for programming foundation models with its powerful optimizers and runtime constraint system.
Building Production Ready Search Pipelines with Spark and MilvusZilliz
Â
Spark is the widely used ETL tool for processing, indexing and ingesting data to serving stack for search. Milvus is the production-ready open-source vector database. In this talk we will show how to use Spark to process unstructured data to extract vector representations, and push the vectors to Milvus vector database for search serving.
Freshworks Rethinks NoSQL for Rapid Scaling & Cost-EfficiencyScyllaDB
Â
Freshworks creates AI-boosted business software that helps employees work more efficiently and effectively. Managing data across multiple RDBMS and NoSQL databases was already a challenge at their current scale. To prepare for 10X growth, they knew it was time to rethink their database strategy. Learn how they architected a solution that would simplify scaling while keeping costs under control.
TrustArc Webinar - 2024 Global Privacy SurveyTrustArc
Â
How does your privacy program stack up against your peers? What challenges are privacy teams tackling and prioritizing in 2024?
In the fifth annual Global Privacy Benchmarks Survey, we asked over 1,800 global privacy professionals and business executives to share their perspectives on the current state of privacy inside and outside of their organizations. This yearâs report focused on emerging areas of importance for privacy and compliance professionals, including considerations and implications of Artificial Intelligence (AI) technologies, building brand trust, and different approaches for achieving higher privacy competence scores.
See how organizational priorities and strategic approaches to data security and privacy are evolving around the globe.
This webinar will review:
- The top 10 privacy insights from the fifth annual Global Privacy Benchmarks Survey
- The top challenges for privacy leaders, practitioners, and organizations in 2024
- Key themes to consider in developing and maintaining your privacy program
FREE A4 Cyber Security Awareness Posters-Social Engineering part 3Data Hops
Â
Free A4 downloadable and printable Cyber Security, Social Engineering Safety and security Training Posters . Promote security awareness in the home or workplace. Lock them Out From training providers datahops.com
Best 20 SEO Techniques To Improve Website Visibility In SERPPixlogix Infotech
Â
Boost your website's visibility with proven SEO techniques! Our latest blog dives into essential strategies to enhance your online presence, increase traffic, and rank higher on search engines. From keyword optimization to quality content creation, learn how to make your site stand out in the crowded digital landscape. Discover actionable tips and expert insights to elevate your SEO game.
Your One-Stop Shop for Python Success: Top 10 US Python Development Providersakankshawande
Â
Simplify your search for a reliable Python development partner! This list presents the top 10 trusted US providers offering comprehensive Python development services, ensuring your project's success from conception to completion.
Let's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with Slackshyamraj55
Â
Discover the seamless integration of RPA (Robotic Process Automation), COMPOSER, and APM with AWS IDP enhanced with Slack notifications. Explore how these technologies converge to streamline workflows, optimize performance, and ensure secure access, all while leveraging the power of AWS IDP and real-time communication via Slack notifications.
Skybuffer SAM4U tool for SAP license adoptionTatiana Kojar
Â
Manage and optimize your license adoption and consumption with SAM4U, an SAP free customer software asset management tool.
SAM4U, an SAP complimentary software asset management tool for customers, delivers a detailed and well-structured overview of license inventory and usage with a user-friendly interface. We offer a hosted, cost-effective, and performance-optimized SAM4U setup in the Skybuffer Cloud environment. You retain ownership of the system and data, while we manage the ABAP 7.58 infrastructure, ensuring fixed Total Cost of Ownership (TCO) and exceptional services through the SAP Fiori interface.
Dandelion Hashtable: beyond billion requests per second on a commodity serverAntonios Katsarakis
Â
This slide deck presents DLHT, a concurrent in-memory hashtable. Despite efforts to optimize hashtables, that go as far as sacrificing core functionality, state-of-the-art designs still incur multiple memory accesses per request and block request processing in three cases. First, most hashtables block while waiting for data to be retrieved from memory. Second, open-addressing designs, which represent the current state-of-the-art, either cannot free index slots on deletes or must block all requests to do so. Third, index resizes block every request until all objects are copied to the new index. Defying folklore wisdom, DLHT forgoes open-addressing and adopts a fully-featured and memory-aware closed-addressing design based on bounded cache-line-chaining. This design offers lock-free index operations and deletes that free slots instantly, (2) completes most requests with a single memory access, (3) utilizes software prefetching to hide memory latencies, and (4) employs a novel non-blocking and parallel resizing. In a commodity server and a memory-resident workload, DLHT surpasses 1.6B requests per second and provides 3.5x (12x) the throughput of the state-of-the-art closed-addressing (open-addressing) resizable hashtable on Gets (Deletes).
Trusted Execution Environment for Decentralized Process MiningLucaBarbaro3
Â
Presentation of the paper "Trusted Execution Environment for Decentralized Process Mining" given during the CAiSE 2024 Conference in Cyprus on June 7, 2024.