SlideShare a Scribd company logo
Power Management
an embedded system perspective




              Patrick Bellasi

  Dipartimento di Elettronica ed Informazione
             Politecnico di Milano
             bellasi@elet.polimi.it



                                                12/12/08
Agenda

๎€Š   Introduction
๎€Š   Basic Principles of Power Consumption
๎€Š   Low Power Design
      PM Techniques
      Architectural Blocks for PM




                                2
Introduction
  Why Low Power Design?

Why should we interest on Power Management?


                                   It make us happy!?


                                  The need of more and
                               more complex portable and
                              wireless applications requires
                              better effort on designing low
                                 power system solutions




                          3
Introduction
Low Power Design Concerns




                                         Cooling and
                                         energy costs
               Battery
               lifetime



 System
 reliability

                                Environmental concerns


                            4
Introduction
     Technology Trends

๎€Š   Physical Gate Length decrease
         new IC are manufactured using sub-micro production technology
         process
         => increased sub-threshold leakage
๎€Š   Transistors number increase
         more and more transistors are integrated within single chips
         => higher power density
๎€Š   Frequency increase
         modern embedded systems could operate at hundreds MHz
๎€Š   Performances increase
         more and more complex operations delivered by single chip
๎€Š   Battery discharge rate is super-linearly related to the
    average power consumption in the VLSI circuits
         excessive discharge rate and varying load conditions will have a
         negative effect and shorten the battery life
                                     5
Power Consumption
     Leakage Components

๎€Š   Main components                                                 I2
                                                                                Gate
                                                                                             I1
      Subthreshold Leakage                                                                        I3

      Gate Leakage
      Junction Leakage                                     Sourc                     Drain
                                                           e
๎€Š   Other components
      Gate Induced Drain Leakage
      Impact Ionization current
๎€Š   Overall and relative contribution depends on
    technology node
                                                  Very              Nano-scaled
                          Short Channel
           Long Channel                       Short Channel           L<90nm
                            L>180nm
              L>1um                              L>90nm              Tox<20Ao
                            Tox>30Ao
                                                Tox>20Ao
            Very Small                                             Subthreshold +
                          Subthreshold
             leakage                          Subthreshold +       Gate + Junction
                            leakage
                                               Gate leakage           leakage



                                          6
Power Consumption
     Basic Principles

                   2
      P = 0.5V DD f clock C L E sw ๎‚ƒt sc V DD I peak f 0 ๎‚Œ 1๎‚ƒV DD I l

๎€Š   Switching (or dynamic) power
          E sw represents the probability that the output
          node makes a transition at each clock cycle
              models the fact that, in general, switching does
              not occur at the clock frequency
              it is called the switching activity of the gate
๎€Š   Short-circuit power
๎€Š   Leakage (or stand-by) power
          in older technologies (250nm and above) was marginal w.r.t.
          switching power
          in deep sub-micron processes becomes critical accounting for about
          35-50% of power budget at 90nm



                                             7
Power Consumption
     Technology Scaling Effects on Power Consumption

๎€Š   Higher device densities
         smaller capacitance per gate to be charged
         and discharged                                   Increased
                                                       dynamic-power
         โ€ฆ but many more gates per chip                 consumptions
      => higher switched capacitance
๎€Š   Higher clock frequencies

๎€Š   Lower supply voltages
         lower switching power, lower speed               Increased
                                                       leakage-power
         โ€ฆ but lower threshold voltages                 consumptions
๎€Š   Higher operating temperatures



                                    8
Power Consumption
Power Saving Opportunities




                             9
Power Consumption
     Architectural Power Reduction Approaches

                   2
      P = 0.5V DD f clock C L E sw ๎‚ƒt sc V DD I peak f 0 ๎‚Œ 1๎‚ƒV DD I l

๎€Š   Switching (or dynamic) power
      reduce supply voltage
          quadratic effect => higher savings
          negative effect on performance
      reduce clock frequency
      reduce switched capacitance
      reduce wasteful switching
๎€Š   Short-circuit power
๎€Š   Leakage (or stand-by) power
      reduce supply voltage
๎€Š   Many techniques apply at logical and physical level
                                        10
Architectural Blocks for PM
     Clock Domains

๎€Š   Group of modules fed with the same gated clock
๎€Š   Support clock gating
      cut a clock to a group of inactive modules to lower their
      active power consumption
         two possible states: active or inactive
      => control of dynamic power consumption




                                     11
Architectural Blocks for PM
     Power Domains

๎€Š   Section of the device with dedicated power rails
๎€Š   Supplied by two voltage sources
      VDD active voltage source (normal operating voltage)
      VRET retention voltage source
             less than active voltage => less power consumption
             logic and memory are not operational, but their content or state is retained




๎€Š   Retention state
      in addition to on/off
         useful for quickly switching to low-power idle mode without losing the
         context and quickly switching back to active state when necessary

                                           12
Architectural Blocks for PM
     Voltage Domains

๎€Š   Group of modules supplied by the same V regulator
      power consumptions can be controlled by regulating
      voltages independently
๎€Š   Assign different operating V to the different modules
      voltage scaling of device subsections
         based on application performance requirements
๎€Š   Lower voltage to reduce power consumption
      when all modules are inactive
      switch back to normal operating V
      only when a wake-up event is
      received




                                  13
Architectural Blocks for PM
OMAP35xx Voltage Domains




                           14
Device PM Architecture
     Domains Hierarchical Architecture

๎€Š   Scalable/switchable voltage domains
๎€Š   Switchable power domains
๎€Š   Switchable clock domains
      subset of a power domain




                                  15
Device PM Architecture
      Interface and Functional Clocks

๎€Š   Each module can have two type of clock
    Interface clocks (ICLK)               Functional clocks (FCLK)
       ensure proper communication            supply the functional part
       supply the module interface and        can have several or none at all
       registers                              several modules can share the
       can have several                       same
       synchronous across the entire device
       management is done at the device
       level




                                     16
Device PM Architecture
     Auto-idle Clock Control

๎€Š   Device can supports an auto-idle clock control scheme
      for the module interface clocks (ICLK)
      executes under hardware control
         HW controller automatically activate/deactivate ICLK
๎€Š   Two device module types
      Initiator (e.g. uP, DMA, MMU)
         can generate bus transactions (read, write, etc.)
         active: when generates transactions
      Target
         passive module that can process bus transactions
         active: when ICLK and some or all FCLK are available
๎€Š   Idle modules can have ICLK gated
      can still receive functional clocks
         can generate interrupts, DMA requests, async wakeup-requests

                                     17
Power Consumption
     System Power Reduction Approaches

                   2
      P = 0.5V DD f clock C L E sw ๎‚ƒt sc V DD I peak f 0 ๎‚Œ 1๎‚ƒV DD I l

๎€Š   Switching (or dynamic) power
      reduce supply voltage
          quadratic effect => higher savings
          negative effect on performance
      reduce clock frequency
      reduce switched capacitance
      reduce wasteful switching
๎€Š   Short-circuit power
๎€Š   Leakage (or stand-by) power
      reduce supply voltage
๎€Š   Many techniques apply at logical and physical level
                                        18
Power Management Techniques
      DVFS - Dynamic Voltage and Frequency Scaling

๎€Š   Allocate a variable amount of energy to perform a task
       power consumption of a digital CMOS circuits
                                       ๎‚ท       switching factor
                                       C eff   effectivecapacitance
              P = ๎‚ทโ‹…C effโ‹…V 2โ‹…f
                                       V       operating voltage
                                       f       operating frequency
       energy required to run a task during T
              E = Pโ‹…T โˆV 2             ๎‚žassuming f โˆV , T โˆ f โˆ’1 ๎‚Ÿ


    Lowering V, while simultaneously and proportionately
        cutting f, causes a quadratic reduction in E



                                  19
Power Management Techniques
     DVFS - Dynamic Voltage and Frequency Scaling

๎€Š   Minimize system idle time
      dynamic selection of optimal frequency and voltage
         allow a task to be performed in the required amount of time
         while still meeting task requirements
๎€Š   Operating Performance Points (OPP)
      a voltage (V) and frequency (F) pair
๎€Š   The system always runs at the lowest OPP
    that meets the performance requirement at
    a given time
         => reduces both dynamic and leakage
         power consumption
๎€Š   We must be able to identify optimal OOP


                                    20
Power Management Techniques
     DPS - Dynamic Power Switching

๎€Š   Maximize system idle time
                                                   Energy
      automatic switch to a low-power mode        consumed
                                                     1.3J
         minimum power consumption
         if wake-up latency conditions allow it
      runs tasks at the highest OPP
         complete tasks quickly
๎€Š   Aimed at reducing active power
    consumption                                    Energy
                                                  consumed
      reduces only leakage power consumption        1.15J

      introduce transitions overhead
         slight dynamic power consumption
         exit-latency
๎€Š   Must predict dynamical performance
    requirement of applications
                                     21
Power Management Techniques
     SLM - Standby Leakage Management

๎€Š   Trades static power consumption for wake-up latency
      remains in lowest static power mode
         compatible with the system response time requirement
๎€Š   Similar to DPS
         switching the system between high- and low-power modes
      different operating timescales
         latency allowed for mode transitions
            DPS: compared to time constraints or deadlines of the application
            SLM: compared to user sensitivity so that they do not degrade user experience
      different context
         who define the transition constraints
            DPS: tasks are running and we must grant application performances
            SLM: applications not running and must grant system responsiveness
      different wake-up events
         events used to exit the low-power mode
            DPS: application-related, e.g. timer, DMA request, peripheral interrupt, ...
            SLM: user-related, e.g. touch screen, key pressed, peripheral connections, ...

                                         22
Power Management Techniques
     AVC - Adaptive Voltage Control

๎€Š   Provide automatic control of the operating voltage
๎€Š   Silicon performances/power trade-off
      depends on                                                   Power
                                                                 consumed
         technology process
         operating temperature variations
๎€Š   Power-supply voltage is adapted
    to silicon performance
      statically
         based on performance points                                 Operating
                                                    Voltage
      dynamically                                   variation
                                                                    Performances
                                                                        Point
         based on the temperature-induced
         real-time performance of the device
๎€Š   Achieves optimal performance/power trade-off
         for all devices and across the technology process spectrum and
         temperature variations
                                    23
Power Management Techniques
     Combining PM Techniques

๎€Š   PM techniques are most effective when used under
    specific conditions
      best active power saving is
      obtained by combining them
    AVC
      boot-time: adapt voltage to device process
      characteristics
      always: compensate temperature variations
    DVFS
      varying application performances requirements
      without DPS to scale F while keeping the V constant        Operating Performances
                                                                         Points
           reduce peak power consumption
           improve temperature dissipation and battery life
    DPS
      performance requirements between two OPPs or below the lowest OPP
      with DVFS: always set F to max allowed at given V
    SLM
      no applications running and performance requirement drops to zero

                                             24
References
๎€Š   AAVV - OMAP35xx Applications Processor - Technical Reference Manual. Texas Instruments, (Apr.
    2008), 249-654.




                                                25

More Related Content

What's hot

Static and Dynamic Read/Write memories
Static and Dynamic Read/Write memoriesStatic and Dynamic Read/Write memories
Static and Dynamic Read/Write memories
Abhilash Nair
ย 
Advanced microprocessor
Advanced microprocessorAdvanced microprocessor
Advanced microprocessor
Shehrevar Davierwala
ย 
8259 Programmable Interrupt Controller
8259 Programmable Interrupt Controller8259 Programmable Interrupt Controller
8259 Programmable Interrupt Controller
abhikalmegh
ย 
Module 1 8086
Module 1 8086Module 1 8086
Module 1 8086
Deepak John
ย 
SRAM
SRAMSRAM
SRAM
rohitladdu
ย 
Design of ring oscillator using controlled low voltage swing inverter
Design of ring oscillator using controlled low voltage swing inverter Design of ring oscillator using controlled low voltage swing inverter
Design of ring oscillator using controlled low voltage swing inverter
khush_19
ย 
Threshold Voltage & Channel Length Modulation
Threshold Voltage & Channel Length ModulationThreshold Voltage & Channel Length Modulation
Threshold Voltage & Channel Length Modulation
Bulbul Brahma
ย 
ARM CORTEX M3 PPT
ARM CORTEX M3 PPTARM CORTEX M3 PPT
ARM CORTEX M3 PPT
Gaurav Verma
ย 
DRAM
DRAMDRAM
DRAM
rohitladdu
ย 
PIC16F877A interfacing with LCD
PIC16F877A interfacing with LCDPIC16F877A interfacing with LCD
PIC16F877A interfacing with LCD
sunil polo
ย 
Interrupt of 8085
Interrupt of 8085Interrupt of 8085
Interrupt of 8085
Nitin Ahire
ย 
Prioritizing handoffs
Prioritizing handoffsPrioritizing handoffs
Prioritizing handoffsAJAL A J
ย 
Embedded c
Embedded cEmbedded c
Embedded c
Ami Prakash
ย 
I2C Protocol
I2C ProtocolI2C Protocol
I2C Protocol
Sudhanshu Janwadkar
ย 
CMOS LOGIC STRUCTURES
CMOS LOGIC STRUCTURESCMOS LOGIC STRUCTURES
CMOS LOGIC STRUCTURES
VaishaliVaishali14
ย 
Flag control
Flag controlFlag control
Flag control
Robert Almazan
ย 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applications
Sudhanshu Janwadkar
ย 
axi protocol
axi protocolaxi protocol
axi protocol
Azad Mishra
ย 
Introduction to arm processor
Introduction to arm processorIntroduction to arm processor
Introduction to arm processor
RAMPRAKASHT1
ย 

What's hot (20)

Static and Dynamic Read/Write memories
Static and Dynamic Read/Write memoriesStatic and Dynamic Read/Write memories
Static and Dynamic Read/Write memories
ย 
Advanced microprocessor
Advanced microprocessorAdvanced microprocessor
Advanced microprocessor
ย 
8259 Programmable Interrupt Controller
8259 Programmable Interrupt Controller8259 Programmable Interrupt Controller
8259 Programmable Interrupt Controller
ย 
Module 1 8086
Module 1 8086Module 1 8086
Module 1 8086
ย 
SRAM
SRAMSRAM
SRAM
ย 
Design of ring oscillator using controlled low voltage swing inverter
Design of ring oscillator using controlled low voltage swing inverter Design of ring oscillator using controlled low voltage swing inverter
Design of ring oscillator using controlled low voltage swing inverter
ย 
8253ppt
8253ppt8253ppt
8253ppt
ย 
Threshold Voltage & Channel Length Modulation
Threshold Voltage & Channel Length ModulationThreshold Voltage & Channel Length Modulation
Threshold Voltage & Channel Length Modulation
ย 
ARM CORTEX M3 PPT
ARM CORTEX M3 PPTARM CORTEX M3 PPT
ARM CORTEX M3 PPT
ย 
DRAM
DRAMDRAM
DRAM
ย 
PIC16F877A interfacing with LCD
PIC16F877A interfacing with LCDPIC16F877A interfacing with LCD
PIC16F877A interfacing with LCD
ย 
Interrupt of 8085
Interrupt of 8085Interrupt of 8085
Interrupt of 8085
ย 
Prioritizing handoffs
Prioritizing handoffsPrioritizing handoffs
Prioritizing handoffs
ย 
Embedded c
Embedded cEmbedded c
Embedded c
ย 
I2C Protocol
I2C ProtocolI2C Protocol
I2C Protocol
ย 
CMOS LOGIC STRUCTURES
CMOS LOGIC STRUCTURESCMOS LOGIC STRUCTURES
CMOS LOGIC STRUCTURES
ย 
Flag control
Flag controlFlag control
Flag control
ย 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applications
ย 
axi protocol
axi protocolaxi protocol
axi protocol
ย 
Introduction to arm processor
Introduction to arm processorIntroduction to arm processor
Introduction to arm processor
ย 

Viewers also liked

Power Management in Embedded Systems
Power Management in Embedded Systems Power Management in Embedded Systems
Power Management in Embedded Systems
mentoresd
ย 
SoC Power Reduction
SoC Power ReductionSoC Power Reduction
SoC Power Reduction
Mahesh Dananjaya
ย 
SOC Power Estimation
SOC Power EstimationSOC Power Estimation
SOC Power Estimation
Mahesh Dananjaya
ย 
Android power management
Android power managementAndroid power management
Android power managementJerrin George
ย 
Analysis of leakage current calculation for nanoscale MOSFET and FinFET
Analysis of leakage current calculation for nanoscale MOSFET and FinFETAnalysis of leakage current calculation for nanoscale MOSFET and FinFET
Analysis of leakage current calculation for nanoscale MOSFET and FinFET
IJTET Journal
ย 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
keshava murali
ย 
Linux Power Management Slideshare
Linux Power Management SlideshareLinux Power Management Slideshare
Linux Power Management SlidesharePatrick Bellasi
ย 
Power Management from Linux Kernel to Android
Power Management from Linux Kernel to AndroidPower Management from Linux Kernel to Android
Power Management from Linux Kernel to Android
National Cheng Kung University
ย 
Unit 1 embedded systems and applications
Unit 1 embedded systems and applicationsUnit 1 embedded systems and applications
Unit 1 embedded systems and applications
Dr.YNM
ย 
Entrepreneurship faculty as facilitator-shivoo
Entrepreneurship faculty as facilitator-shivooEntrepreneurship faculty as facilitator-shivoo
Entrepreneurship faculty as facilitator-shivoo
Dr. Shivananda Koteshwar
ย 
Galvanic isolation & Triad Semiconductor Mixed-Signal ASIC Solutions
Galvanic isolation & Triad Semiconductor Mixed-Signal ASIC SolutionsGalvanic isolation & Triad Semiconductor Mixed-Signal ASIC Solutions
Galvanic isolation & Triad Semiconductor Mixed-Signal ASIC Solutions
Triad Semiconductor
ย 
LCE12: How to measure SoC power
LCE12: How to measure SoC powerLCE12: How to measure SoC power
LCE12: How to measure SoC power
Linaro
ย 
SoC - System on Chip
SoC - System on ChipSoC - System on Chip
SoC - System on Chip
Satya Harish
ย 
Linaro Connect 2016 (BKK16) - Introduction to LISA
Linaro Connect 2016 (BKK16) - Introduction to LISALinaro Connect 2016 (BKK16) - Introduction to LISA
Linaro Connect 2016 (BKK16) - Introduction to LISA
Patrick Bellasi
ย 
Constrained Power Management
Constrained Power ManagementConstrained Power Management
Constrained Power Management
Patrick Bellasi
ย 
Evoluzione dei Sistemi Embedded: Verso architetture multi-core
Evoluzione dei Sistemi Embedded: Verso architetture multi-coreEvoluzione dei Sistemi Embedded: Verso architetture multi-core
Evoluzione dei Sistemi Embedded: Verso architetture multi-core
Patrick Bellasi
ย 
Cross-Layer Frameworks for Constrained Power and Resources Management of Embe...
Cross-Layer Frameworks for Constrained Power and Resources Management of Embe...Cross-Layer Frameworks for Constrained Power and Resources Management of Embe...
Cross-Layer Frameworks for Constrained Power and Resources Management of Embe...
Patrick Bellasi
ย 
Power management
Power managementPower management
Power management
Scott Shu
ย 
LCA13: Power State Coordination Interface
LCA13: Power State Coordination InterfaceLCA13: Power State Coordination Interface
LCA13: Power State Coordination Interface
Linaro
ย 
U boot ็จ‹ๅผ็ขผๆ‰“ๆŽƒ่จˆ็•ซ
U boot ็จ‹ๅผ็ขผๆ‰“ๆŽƒ่จˆ็•ซU boot ็จ‹ๅผ็ขผๆ‰“ๆŽƒ่จˆ็•ซ
U boot ็จ‹ๅผ็ขผๆ‰“ๆŽƒ่จˆ็•ซ
Macpaul Lin
ย 

Viewers also liked (20)

Power Management in Embedded Systems
Power Management in Embedded Systems Power Management in Embedded Systems
Power Management in Embedded Systems
ย 
SoC Power Reduction
SoC Power ReductionSoC Power Reduction
SoC Power Reduction
ย 
SOC Power Estimation
SOC Power EstimationSOC Power Estimation
SOC Power Estimation
ย 
Android power management
Android power managementAndroid power management
Android power management
ย 
Analysis of leakage current calculation for nanoscale MOSFET and FinFET
Analysis of leakage current calculation for nanoscale MOSFET and FinFETAnalysis of leakage current calculation for nanoscale MOSFET and FinFET
Analysis of leakage current calculation for nanoscale MOSFET and FinFET
ย 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
ย 
Linux Power Management Slideshare
Linux Power Management SlideshareLinux Power Management Slideshare
Linux Power Management Slideshare
ย 
Power Management from Linux Kernel to Android
Power Management from Linux Kernel to AndroidPower Management from Linux Kernel to Android
Power Management from Linux Kernel to Android
ย 
Unit 1 embedded systems and applications
Unit 1 embedded systems and applicationsUnit 1 embedded systems and applications
Unit 1 embedded systems and applications
ย 
Entrepreneurship faculty as facilitator-shivoo
Entrepreneurship faculty as facilitator-shivooEntrepreneurship faculty as facilitator-shivoo
Entrepreneurship faculty as facilitator-shivoo
ย 
Galvanic isolation & Triad Semiconductor Mixed-Signal ASIC Solutions
Galvanic isolation & Triad Semiconductor Mixed-Signal ASIC SolutionsGalvanic isolation & Triad Semiconductor Mixed-Signal ASIC Solutions
Galvanic isolation & Triad Semiconductor Mixed-Signal ASIC Solutions
ย 
LCE12: How to measure SoC power
LCE12: How to measure SoC powerLCE12: How to measure SoC power
LCE12: How to measure SoC power
ย 
SoC - System on Chip
SoC - System on ChipSoC - System on Chip
SoC - System on Chip
ย 
Linaro Connect 2016 (BKK16) - Introduction to LISA
Linaro Connect 2016 (BKK16) - Introduction to LISALinaro Connect 2016 (BKK16) - Introduction to LISA
Linaro Connect 2016 (BKK16) - Introduction to LISA
ย 
Constrained Power Management
Constrained Power ManagementConstrained Power Management
Constrained Power Management
ย 
Evoluzione dei Sistemi Embedded: Verso architetture multi-core
Evoluzione dei Sistemi Embedded: Verso architetture multi-coreEvoluzione dei Sistemi Embedded: Verso architetture multi-core
Evoluzione dei Sistemi Embedded: Verso architetture multi-core
ย 
Cross-Layer Frameworks for Constrained Power and Resources Management of Embe...
Cross-Layer Frameworks for Constrained Power and Resources Management of Embe...Cross-Layer Frameworks for Constrained Power and Resources Management of Embe...
Cross-Layer Frameworks for Constrained Power and Resources Management of Embe...
ย 
Power management
Power managementPower management
Power management
ย 
LCA13: Power State Coordination Interface
LCA13: Power State Coordination InterfaceLCA13: Power State Coordination Interface
LCA13: Power State Coordination Interface
ย 
U boot ็จ‹ๅผ็ขผๆ‰“ๆŽƒ่จˆ็•ซ
U boot ็จ‹ๅผ็ขผๆ‰“ๆŽƒ่จˆ็•ซU boot ็จ‹ๅผ็ขผๆ‰“ๆŽƒ่จˆ็•ซ
U boot ็จ‹ๅผ็ขผๆ‰“ๆŽƒ่จˆ็•ซ
ย 

Similar to Embedded Systems Power Management

Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
Sri Manakula Vinayagar Engineering College
ย 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
Anil Yadav
ย 
Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...
IJSRED
ย 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
Rajesh_navandar
ย 
Reducing Electrical Control Panel Size
Reducing Electrical Control Panel SizeReducing Electrical Control Panel Size
Reducing Electrical Control Panel Size
CMA/Flodyne/Hydradyne
ย 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
SUNODH GARLAPATI
ย 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
IJERA Editor
ย 
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Bryce Nelson
ย 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip Design
Editor IJMTER
ย 
Nabeelpbm1998@gmail.com
Nabeelpbm1998@gmail.comNabeelpbm1998@gmail.com
Nabeelpbm1998@gmail.com
nabeelavulan
ย 
CYCLO CONVERTER USING THYRISTORS
CYCLO CONVERTER USING THYRISTORSCYCLO CONVERTER USING THYRISTORS
CYCLO CONVERTER USING THYRISTORS
Kartik Bolar
ย 
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
IOSRJVSP
ย 
three phase fault analysis with auto reset for temporary fault and trip for p...
three phase fault analysis with auto reset for temporary fault and trip for p...three phase fault analysis with auto reset for temporary fault and trip for p...
three phase fault analysis with auto reset for temporary fault and trip for p...
Vikram Rawani
ย 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise Reduction
IJERA Editor
ย 
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...
Pradeep Avanigadda
ย 
Original Power Supply IC LNK364DN LNK364DG SOP-7 New
Original Power Supply IC LNK364DN LNK364DG SOP-7 NewOriginal Power Supply IC LNK364DN LNK364DG SOP-7 New
Original Power Supply IC LNK364DN LNK364DG SOP-7 New
AUTHELECTRONIC
ย 
IRJET- Embedded System based Multi-Source Leakage Current Protection for Low ...
IRJET- Embedded System based Multi-Source Leakage Current Protection for Low ...IRJET- Embedded System based Multi-Source Leakage Current Protection for Low ...
IRJET- Embedded System based Multi-Source Leakage Current Protection for Low ...
IRJET Journal
ย 
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
VLSICS Design
ย 
How to build a Inverters
How to build a InvertersHow to build a Inverters
How to build a Inverterser.praveenyadav88
ย 
Selective Coordination "The Rest of the Story"
Selective Coordination "The Rest of the Story"Selective Coordination "The Rest of the Story"
Selective Coordination "The Rest of the Story"
michaeljmack
ย 

Similar to Embedded Systems Power Management (20)

Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
ย 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
ย 
Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...
ย 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
ย 
Reducing Electrical Control Panel Size
Reducing Electrical Control Panel SizeReducing Electrical Control Panel Size
Reducing Electrical Control Panel Size
ย 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
ย 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
ย 
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
ย 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip Design
ย 
Nabeelpbm1998@gmail.com
Nabeelpbm1998@gmail.comNabeelpbm1998@gmail.com
Nabeelpbm1998@gmail.com
ย 
CYCLO CONVERTER USING THYRISTORS
CYCLO CONVERTER USING THYRISTORSCYCLO CONVERTER USING THYRISTORS
CYCLO CONVERTER USING THYRISTORS
ย 
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
ย 
three phase fault analysis with auto reset for temporary fault and trip for p...
three phase fault analysis with auto reset for temporary fault and trip for p...three phase fault analysis with auto reset for temporary fault and trip for p...
three phase fault analysis with auto reset for temporary fault and trip for p...
ย 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise Reduction
ย 
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...
ย 
Original Power Supply IC LNK364DN LNK364DG SOP-7 New
Original Power Supply IC LNK364DN LNK364DG SOP-7 NewOriginal Power Supply IC LNK364DN LNK364DG SOP-7 New
Original Power Supply IC LNK364DN LNK364DG SOP-7 New
ย 
IRJET- Embedded System based Multi-Source Leakage Current Protection for Low ...
IRJET- Embedded System based Multi-Source Leakage Current Protection for Low ...IRJET- Embedded System based Multi-Source Leakage Current Protection for Low ...
IRJET- Embedded System based Multi-Source Leakage Current Protection for Low ...
ย 
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
ย 
How to build a Inverters
How to build a InvertersHow to build a Inverters
How to build a Inverters
ย 
Selective Coordination "The Rest of the Story"
Selective Coordination "The Rest of the Story"Selective Coordination "The Rest of the Story"
Selective Coordination "The Rest of the Story"
ย 

Recently uploaded

aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
siemaillard
ย 
Additional Benefits for Employee Website.pdf
Additional Benefits for Employee Website.pdfAdditional Benefits for Employee Website.pdf
Additional Benefits for Employee Website.pdf
joachimlavalley1
ย 
special B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdfspecial B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdf
Special education needs
ย 
Thesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.pptThesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.ppt
EverAndrsGuerraGuerr
ย 
Unit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdfUnit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdf
Thiyagu K
ย 
How to Create Map Views in the Odoo 17 ERP
How to Create Map Views in the Odoo 17 ERPHow to Create Map Views in the Odoo 17 ERP
How to Create Map Views in the Odoo 17 ERP
Celine George
ย 
1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx
JosvitaDsouza2
ย 
Polish students' mobility in the Czech Republic
Polish students' mobility in the Czech RepublicPolish students' mobility in the Czech Republic
Polish students' mobility in the Czech Republic
Anna Sz.
ย 
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
MysoreMuleSoftMeetup
ย 
How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...
Jisc
ย 
Basic phrases for greeting and assisting costumers
Basic phrases for greeting and assisting costumersBasic phrases for greeting and assisting costumers
Basic phrases for greeting and assisting costumers
PedroFerreira53928
ย 
The approach at University of Liverpool.pptx
The approach at University of Liverpool.pptxThe approach at University of Liverpool.pptx
The approach at University of Liverpool.pptx
Jisc
ย 
How to Break the cycle of negative Thoughts
How to Break the cycle of negative ThoughtsHow to Break the cycle of negative Thoughts
How to Break the cycle of negative Thoughts
Col Mukteshwar Prasad
ย 
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
siemaillard
ย 
Chapter 3 - Islamic Banking Products and Services.pptx
Chapter 3 - Islamic Banking Products and Services.pptxChapter 3 - Islamic Banking Products and Services.pptx
Chapter 3 - Islamic Banking Products and Services.pptx
Mohd Adib Abd Muin, Senior Lecturer at Universiti Utara Malaysia
ย 
Sectors of the Indian Economy - Class 10 Study Notes pdf
Sectors of the Indian Economy - Class 10 Study Notes pdfSectors of the Indian Economy - Class 10 Study Notes pdf
Sectors of the Indian Economy - Class 10 Study Notes pdf
Vivekanand Anglo Vedic Academy
ย 
Unit 2- Research Aptitude (UGC NET Paper I).pdf
Unit 2- Research Aptitude (UGC NET Paper I).pdfUnit 2- Research Aptitude (UGC NET Paper I).pdf
Unit 2- Research Aptitude (UGC NET Paper I).pdf
Thiyagu K
ย 
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCECLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
BhavyaRajput3
ย 
Cambridge International AS A Level Biology Coursebook - EBook (MaryFosbery J...
Cambridge International AS  A Level Biology Coursebook - EBook (MaryFosbery J...Cambridge International AS  A Level Biology Coursebook - EBook (MaryFosbery J...
Cambridge International AS A Level Biology Coursebook - EBook (MaryFosbery J...
AzmatAli747758
ย 
Model Attribute Check Company Auto Property
Model Attribute  Check Company Auto PropertyModel Attribute  Check Company Auto Property
Model Attribute Check Company Auto Property
Celine George
ย 

Recently uploaded (20)

aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
ย 
Additional Benefits for Employee Website.pdf
Additional Benefits for Employee Website.pdfAdditional Benefits for Employee Website.pdf
Additional Benefits for Employee Website.pdf
ย 
special B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdfspecial B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdf
ย 
Thesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.pptThesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.ppt
ย 
Unit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdfUnit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdf
ย 
How to Create Map Views in the Odoo 17 ERP
How to Create Map Views in the Odoo 17 ERPHow to Create Map Views in the Odoo 17 ERP
How to Create Map Views in the Odoo 17 ERP
ย 
1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx
ย 
Polish students' mobility in the Czech Republic
Polish students' mobility in the Czech RepublicPolish students' mobility in the Czech Republic
Polish students' mobility in the Czech Republic
ย 
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
ย 
How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...
ย 
Basic phrases for greeting and assisting costumers
Basic phrases for greeting and assisting costumersBasic phrases for greeting and assisting costumers
Basic phrases for greeting and assisting costumers
ย 
The approach at University of Liverpool.pptx
The approach at University of Liverpool.pptxThe approach at University of Liverpool.pptx
The approach at University of Liverpool.pptx
ย 
How to Break the cycle of negative Thoughts
How to Break the cycle of negative ThoughtsHow to Break the cycle of negative Thoughts
How to Break the cycle of negative Thoughts
ย 
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
ย 
Chapter 3 - Islamic Banking Products and Services.pptx
Chapter 3 - Islamic Banking Products and Services.pptxChapter 3 - Islamic Banking Products and Services.pptx
Chapter 3 - Islamic Banking Products and Services.pptx
ย 
Sectors of the Indian Economy - Class 10 Study Notes pdf
Sectors of the Indian Economy - Class 10 Study Notes pdfSectors of the Indian Economy - Class 10 Study Notes pdf
Sectors of the Indian Economy - Class 10 Study Notes pdf
ย 
Unit 2- Research Aptitude (UGC NET Paper I).pdf
Unit 2- Research Aptitude (UGC NET Paper I).pdfUnit 2- Research Aptitude (UGC NET Paper I).pdf
Unit 2- Research Aptitude (UGC NET Paper I).pdf
ย 
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCECLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
ย 
Cambridge International AS A Level Biology Coursebook - EBook (MaryFosbery J...
Cambridge International AS  A Level Biology Coursebook - EBook (MaryFosbery J...Cambridge International AS  A Level Biology Coursebook - EBook (MaryFosbery J...
Cambridge International AS A Level Biology Coursebook - EBook (MaryFosbery J...
ย 
Model Attribute Check Company Auto Property
Model Attribute  Check Company Auto PropertyModel Attribute  Check Company Auto Property
Model Attribute Check Company Auto Property
ย 

Embedded Systems Power Management

  • 1. Power Management an embedded system perspective Patrick Bellasi Dipartimento di Elettronica ed Informazione Politecnico di Milano bellasi@elet.polimi.it 12/12/08
  • 2. Agenda ๎€Š Introduction ๎€Š Basic Principles of Power Consumption ๎€Š Low Power Design PM Techniques Architectural Blocks for PM 2
  • 3. Introduction Why Low Power Design? Why should we interest on Power Management? It make us happy!? The need of more and more complex portable and wireless applications requires better effort on designing low power system solutions 3
  • 4. Introduction Low Power Design Concerns Cooling and energy costs Battery lifetime System reliability Environmental concerns 4
  • 5. Introduction Technology Trends ๎€Š Physical Gate Length decrease new IC are manufactured using sub-micro production technology process => increased sub-threshold leakage ๎€Š Transistors number increase more and more transistors are integrated within single chips => higher power density ๎€Š Frequency increase modern embedded systems could operate at hundreds MHz ๎€Š Performances increase more and more complex operations delivered by single chip ๎€Š Battery discharge rate is super-linearly related to the average power consumption in the VLSI circuits excessive discharge rate and varying load conditions will have a negative effect and shorten the battery life 5
  • 6. Power Consumption Leakage Components ๎€Š Main components I2 Gate I1 Subthreshold Leakage I3 Gate Leakage Junction Leakage Sourc Drain e ๎€Š Other components Gate Induced Drain Leakage Impact Ionization current ๎€Š Overall and relative contribution depends on technology node Very Nano-scaled Short Channel Long Channel Short Channel L<90nm L>180nm L>1um L>90nm Tox<20Ao Tox>30Ao Tox>20Ao Very Small Subthreshold + Subthreshold leakage Subthreshold + Gate + Junction leakage Gate leakage leakage 6
  • 7. Power Consumption Basic Principles 2 P = 0.5V DD f clock C L E sw ๎‚ƒt sc V DD I peak f 0 ๎‚Œ 1๎‚ƒV DD I l ๎€Š Switching (or dynamic) power E sw represents the probability that the output node makes a transition at each clock cycle models the fact that, in general, switching does not occur at the clock frequency it is called the switching activity of the gate ๎€Š Short-circuit power ๎€Š Leakage (or stand-by) power in older technologies (250nm and above) was marginal w.r.t. switching power in deep sub-micron processes becomes critical accounting for about 35-50% of power budget at 90nm 7
  • 8. Power Consumption Technology Scaling Effects on Power Consumption ๎€Š Higher device densities smaller capacitance per gate to be charged and discharged Increased dynamic-power โ€ฆ but many more gates per chip consumptions => higher switched capacitance ๎€Š Higher clock frequencies ๎€Š Lower supply voltages lower switching power, lower speed Increased leakage-power โ€ฆ but lower threshold voltages consumptions ๎€Š Higher operating temperatures 8
  • 10. Power Consumption Architectural Power Reduction Approaches 2 P = 0.5V DD f clock C L E sw ๎‚ƒt sc V DD I peak f 0 ๎‚Œ 1๎‚ƒV DD I l ๎€Š Switching (or dynamic) power reduce supply voltage quadratic effect => higher savings negative effect on performance reduce clock frequency reduce switched capacitance reduce wasteful switching ๎€Š Short-circuit power ๎€Š Leakage (or stand-by) power reduce supply voltage ๎€Š Many techniques apply at logical and physical level 10
  • 11. Architectural Blocks for PM Clock Domains ๎€Š Group of modules fed with the same gated clock ๎€Š Support clock gating cut a clock to a group of inactive modules to lower their active power consumption two possible states: active or inactive => control of dynamic power consumption 11
  • 12. Architectural Blocks for PM Power Domains ๎€Š Section of the device with dedicated power rails ๎€Š Supplied by two voltage sources VDD active voltage source (normal operating voltage) VRET retention voltage source less than active voltage => less power consumption logic and memory are not operational, but their content or state is retained ๎€Š Retention state in addition to on/off useful for quickly switching to low-power idle mode without losing the context and quickly switching back to active state when necessary 12
  • 13. Architectural Blocks for PM Voltage Domains ๎€Š Group of modules supplied by the same V regulator power consumptions can be controlled by regulating voltages independently ๎€Š Assign different operating V to the different modules voltage scaling of device subsections based on application performance requirements ๎€Š Lower voltage to reduce power consumption when all modules are inactive switch back to normal operating V only when a wake-up event is received 13
  • 14. Architectural Blocks for PM OMAP35xx Voltage Domains 14
  • 15. Device PM Architecture Domains Hierarchical Architecture ๎€Š Scalable/switchable voltage domains ๎€Š Switchable power domains ๎€Š Switchable clock domains subset of a power domain 15
  • 16. Device PM Architecture Interface and Functional Clocks ๎€Š Each module can have two type of clock Interface clocks (ICLK) Functional clocks (FCLK) ensure proper communication supply the functional part supply the module interface and can have several or none at all registers several modules can share the can have several same synchronous across the entire device management is done at the device level 16
  • 17. Device PM Architecture Auto-idle Clock Control ๎€Š Device can supports an auto-idle clock control scheme for the module interface clocks (ICLK) executes under hardware control HW controller automatically activate/deactivate ICLK ๎€Š Two device module types Initiator (e.g. uP, DMA, MMU) can generate bus transactions (read, write, etc.) active: when generates transactions Target passive module that can process bus transactions active: when ICLK and some or all FCLK are available ๎€Š Idle modules can have ICLK gated can still receive functional clocks can generate interrupts, DMA requests, async wakeup-requests 17
  • 18. Power Consumption System Power Reduction Approaches 2 P = 0.5V DD f clock C L E sw ๎‚ƒt sc V DD I peak f 0 ๎‚Œ 1๎‚ƒV DD I l ๎€Š Switching (or dynamic) power reduce supply voltage quadratic effect => higher savings negative effect on performance reduce clock frequency reduce switched capacitance reduce wasteful switching ๎€Š Short-circuit power ๎€Š Leakage (or stand-by) power reduce supply voltage ๎€Š Many techniques apply at logical and physical level 18
  • 19. Power Management Techniques DVFS - Dynamic Voltage and Frequency Scaling ๎€Š Allocate a variable amount of energy to perform a task power consumption of a digital CMOS circuits ๎‚ท switching factor C eff effectivecapacitance P = ๎‚ทโ‹…C effโ‹…V 2โ‹…f V operating voltage f operating frequency energy required to run a task during T E = Pโ‹…T โˆV 2 ๎‚žassuming f โˆV , T โˆ f โˆ’1 ๎‚Ÿ Lowering V, while simultaneously and proportionately cutting f, causes a quadratic reduction in E 19
  • 20. Power Management Techniques DVFS - Dynamic Voltage and Frequency Scaling ๎€Š Minimize system idle time dynamic selection of optimal frequency and voltage allow a task to be performed in the required amount of time while still meeting task requirements ๎€Š Operating Performance Points (OPP) a voltage (V) and frequency (F) pair ๎€Š The system always runs at the lowest OPP that meets the performance requirement at a given time => reduces both dynamic and leakage power consumption ๎€Š We must be able to identify optimal OOP 20
  • 21. Power Management Techniques DPS - Dynamic Power Switching ๎€Š Maximize system idle time Energy automatic switch to a low-power mode consumed 1.3J minimum power consumption if wake-up latency conditions allow it runs tasks at the highest OPP complete tasks quickly ๎€Š Aimed at reducing active power consumption Energy consumed reduces only leakage power consumption 1.15J introduce transitions overhead slight dynamic power consumption exit-latency ๎€Š Must predict dynamical performance requirement of applications 21
  • 22. Power Management Techniques SLM - Standby Leakage Management ๎€Š Trades static power consumption for wake-up latency remains in lowest static power mode compatible with the system response time requirement ๎€Š Similar to DPS switching the system between high- and low-power modes different operating timescales latency allowed for mode transitions DPS: compared to time constraints or deadlines of the application SLM: compared to user sensitivity so that they do not degrade user experience different context who define the transition constraints DPS: tasks are running and we must grant application performances SLM: applications not running and must grant system responsiveness different wake-up events events used to exit the low-power mode DPS: application-related, e.g. timer, DMA request, peripheral interrupt, ... SLM: user-related, e.g. touch screen, key pressed, peripheral connections, ... 22
  • 23. Power Management Techniques AVC - Adaptive Voltage Control ๎€Š Provide automatic control of the operating voltage ๎€Š Silicon performances/power trade-off depends on Power consumed technology process operating temperature variations ๎€Š Power-supply voltage is adapted to silicon performance statically based on performance points Operating Voltage dynamically variation Performances Point based on the temperature-induced real-time performance of the device ๎€Š Achieves optimal performance/power trade-off for all devices and across the technology process spectrum and temperature variations 23
  • 24. Power Management Techniques Combining PM Techniques ๎€Š PM techniques are most effective when used under specific conditions best active power saving is obtained by combining them AVC boot-time: adapt voltage to device process characteristics always: compensate temperature variations DVFS varying application performances requirements without DPS to scale F while keeping the V constant Operating Performances Points reduce peak power consumption improve temperature dissipation and battery life DPS performance requirements between two OPPs or below the lowest OPP with DVFS: always set F to max allowed at given V SLM no applications running and performance requirement drops to zero 24
  • 25. References ๎€Š AAVV - OMAP35xx Applications Processor - Technical Reference Manual. Texas Instruments, (Apr. 2008), 249-654. 25