SlideShare a Scribd company logo
1 of 29
By/ Mostafa Khamis
Mostafaa.soc@riotmicro.com
Synopsys Fusion Compiler
Comprehensive RTL-to-GDSII Implementation
System
 Introduction
 Fusion Compiler Flow
Outline
Mostafa KhamisSynopsys Fusion Compiler
Introduction
There has been a significant uptick in demand for silicon in recent years, driven by market sectors including
automotive, artificial intelligence, cloud computing, and internet of things (IoT) that have their own unique mix of
design and implementation requirements.
Design Challenges:

The advancements in process technology towards
smaller geometries and design requirements for
best performance, lowest power and smaller area in
the fastest time, has resulted in a number of design
implementation challenges.
Emerging Market Segments
Mostafa KhamisSynopsys Fusion Compiler
 The requirements of FinFET and multi-patterning rules must be considered and addressed throughout the design
flow.
 DRC and DFM complexity and growing number of design rules, timing violation, power slacks, and other rules
must be applied as early as synthesis in the design cycle.
 Driving higher utilization and reducing area are key considerations when moving to smaller nodes to justify the
cost of migration
 Achieving high performance is extremely challenging due to aggressive targets, complex clocking mechanisms,
and conflicting requirements with power and area.
 Transistor scaling has resulted in faster transistors and wire delay has become the dominant factor at advanced
nodes with highly resistive wires.
 Optimizing total power has become even more critical due to long battery life requirements of SoC devices and
high cooling cost for compute farms.
Design Complexity
Mostafa KhamisSynopsys Fusion Compiler
Regarding, these design challenges, the constant pressure to meet the tight
market window is a continuing struggle for designers. The quest for faster full-
flow runtimes and convergence, tighter correlation to signoff, and minimal ECO
iterations is a key concern for design teams.
 Fusion Compiler is the next-generation RTL-to-GDSII implementation system architected to address the
complexities of advanced node designs and deliver up to 20% improved PPA while reducing Time To
Results (TTR) by 2X.

 Fusion Compiler is built on a compact, single data model that enables seamless sharing of technology
and engines for a comprehensive design closure.

 Fusion Compiler has been built using best-in-class next-generation RTL synthesis, place-and-route and
industry-standard golden signoff technologies for designing state-of-the-art system-on-chips (SoCs).

 Fusion Compiler provides a complete RTL-to-GDSII design
 system including RTL physical synthesis, design planning,
 placement, clock tree synthesis (CTS), advanced routing,
 physical synthesis-based optimization, chip finishing,
 signoff quality analysis and ECO optimization.
Intro to Fusion Compiler
Mostafa KhamisSynopsys Fusion Compiler
 Fusion architecture
 Synthesis, P&R, signoff
 Fusion of algorithms, engines, and
 data model
 3 Fusion types: ECO, signoff and test

 Innovative Products
 Industry unique Fusion compiler
 Design compiler NXT
 New TestMax, and IC validator NXT

 Market leadership
 AI-enhanced tools, AI-driven apps
 Accelerating AI, Automative, 3DIC chips
 Cloud-ready
Synopsys Fusion Design Platform
Mostafa KhamisSynopsys Fusion Compiler
20% Better quality-of-results and 2X faster time-to-results
 20% better QoR and 2X faster TTR

 Unified Physical Synthesis (UPS) optimizations that unify best-in-class technologies from next-generation synthesis
and place-and-route for best QoR

 Advanced placement algorithm provides improved design rule check (DRC) count, better pin access, and faster design
closure

 Accurate congestion estimation and prediction using route-driven estimation technology throughout flow for tight
correlation and overall convergence

 Total power optimization throughout the flow including unique technologies such as power-driven re-synthesis, re-
constructive leakage and knee-based optimization

 Accurate signoff quality timing, parasitic extraction, and power analysis engines to eliminate design iterations

 Advanced physically-aware synthesis optimization with congestion, layer assignment, advanced CTS, and route-based
optimization to deliver highest frequencies.

 Advanced area recovery algorithms from synthesis to post-route optimization
Key Highlights of Fusion Compiler
Mostafa KhamisSynopsys Fusion Compiler
 Is built on a single data model and contains both logical and physical information
 to enable sharing of library, data, constraints, and
 design intent throughout the implementation flow.

 Gives synthesis and implementation tools access to
 each others’ technology including sharing of
 optimization engines between the two domains.

 Integrates all synthesis, place-and-route, signoff
 engines on the single data model which eliminates
 data transfer and delivers fastest design closure.

 Enables cross probing different views in the GUI
 for an enhanced user experience and faster debugging
Fusion Data Model
Mostafa KhamisSynopsys Fusion Compiler
 UPS is the nerve center and fundamental backbone for all optimization capabilities within Fusion Compiler,
combining the best technologies from next-generation synthesis and place-and-route engines.

 Fusion Compiler offers unique and innovative solutions that spans both RTL physical synthesis and place-and-
route domains including interleaved floorplanning, synthesis, incremental compile, physically-aware data path
representation, logic re-synthesis during physical implementation and a common UPS optimization engine that
delivers unprecedented QoR and design convergence.

 These provided technologies such as: next-generation placer - advanced 2D legalizer - concurrent clock and
data (CCD) optimization - multi-bit banking and de-banking - total power-centric optimization - automatic non-
default rule (NDR).
Unified Physical Synthesis (UPS)
Mostafa KhamisSynopsys Fusion Compiler
CCD Optimization
Mostafa KhamisSynopsys Fusion Compiler
Fusion Compiler offers a comprehensive RTL-to-GDSII low power-driven flow to optimize both leakage and dynamic
power. The infrastructure includes different power optimization technologies throughout the flow.
Total Power Optimization
Mostafa KhamisSynopsys Fusion Compiler
 Fusion Compiler enables the fastest turnaround time from RTL-to-GDSII by blurring the
boundary between synthesis and physical implementation with a unified physical synthesis
optimization flow.

 Parallelization technologies, multi-threading and distributed processing of key engines
throughout the flow utilize hardware resources effectively for fast design convergence and
rapid design closure.

 Incremental compile and placement allow for faster turnaround when netlist or constraint
changes are observed.
Fastest Time to Results
Mostafa KhamisSynopsys Fusion Compiler
Fusion Compiler Flow
Fusion Compiler Flow
Mostafa KhamisSynopsys Fusion Compiler
 Definition:
 Design for Test is the art of adding functionality to the chip to enhance its observability and controllability so that it can be
effectively tested for correct operation.
 Observability: ease of observing a node by watching external output pins of the chip
 Controllability: ease of forcing a node to 0 or 1 by driving input pins of the chip


 Test Pattern Generation
 Manufacturing test ideally would check every node in the circuit to prove it is not stuck at 1 or 0.
 Apply the minimum set of test vectors necessary to prove each node is not stuck
 Minimum set of test vectors determined through fault simulation using special EDA tools.


 Automatic Test Pattern Generation:
 Automatic Test Pattern Generation (ATPG) tools produce a good set of vectors for each block of combinational logic
 Complete coverage requires a large number of vectors, raising the cost of test

 Fault Coverage = # of detected faults / # of detectable faults.
 Most products settle for covering 90+% of potential SA faults
Design for Test
Mostafa KhamisSynopsys Fusion Compiler
 Inputs: A hierarchical netlist describing
 Circuit blocks
 The logic cells within the blocks,
 All connections.

 Goals:
 Arrange the blocks on a chip (Area Estimation).
 Decide routing areas (Channel Assignment)
 Decide the location of the I/O pads w.r.t. block pins,
 Decide the location and number of the power pads,
 Decide the type of power distribution, and
 Decide the location and type of clock distribution.
 Chip aspect ratio and size to fit in the package cavity and metal levels.

 Objectives:
 Minimize the chip area
 Minimize routing congestion
 Minimize delay.
Floorplanning
Mostafa KhamisSynopsys Fusion Compiler
 Arrange all logic cells within the flexible blocks
 Objectives:
 Minimize the total estimated interconnect length
 Meet the timing requirements for critical nets
 Minimize the interconnect congestion
 Additional Objectives:
 Minimize power dissipation
 Minimize cross talk between signals
 There are many placement algorithms: Min-Cut, Simulated Annealing, …..
Placement
Mostafa KhamisSynopsys Fusion Compiler
 Special tools insert multiple buffers with optimal sizing to distribute driver requirements to different
elements.
 In practice, use sufficient design margin on skew and jitter.
 There are many distribution types techniques to solve the timing variations and the clock timing gap
inside the design.
Clock Tree Synthesis (CTS)
Mostafa KhamisSynopsys Fusion Compiler
 Physical timing optimization today is all based on ideal clocks timing
 Timing opt is based on wrong information (like wire load models in the past)
 Cannot see the real timing situation
 Even if CTS skew=0, Propagated timing ≠ Ideal timing
 Clock balancing imposes severe restrictions on timing optimization – for no benefit
CTS Problems
Mostafa KhamisSynopsys Fusion Compiler
Clock Concurrent Technology
Mostafa KhamisSynopsys Fusion Compiler
Routing
Mostafa KhamisSynopsys Fusion Compiler
 Design Rule Check (DRC):
 Determines whether the layout of a chip satisfies a series of recommended parameters called design rules.
 Design rules are physical checks of metal width, pitch and spacing requirement for the different layers with respect to
different manufacturing process.

 LVS:
 Ensure the functionality of layout by comparing it with the corresponding schematic one
 generated from netlist.
 We need the netlist file, GDS (layout database), and LVS rule deck which is a set of
 code written in Standard Verification Rule Format (SVRF), or TCL verification format (TVF).

 Design For Manufacturing (DFM):
 Files (GDS and Rule deck File)
 It checks extra DRC: Redundant Via insertion, Wire spreading, Wire slotting, and
 Metal filling.

 Antenna Rules:
 They are indicating maximum area of metal to connect to a gate, avoiding antenna effect.
IC Validator - Signoff
Mostafa KhamisSynopsys Fusion Compiler
 The assumption of constant VDD and VSS is not valid on chip.
 Excessive resistance on power supply lines causes ohmic drops which reduces the required voltage for large
chips.
 Intrinsic wire resistance leads to different voltage drops across the VSS distribution network
 IR drop causes voltage drop which in-turn causes the delaying of the cells causing setup and hold violations.
IR Drop – RedHawk - Signoff
Mostafa KhamisSynopsys Fusion Compiler
Solutions:
 Reduce the resistance of power lines by using wide metal lines.
 Use power rings and power straps to distribute the power.
 Use multiple power pads in parallel to supply the network.
 And others.
IR Drop – RedHawk - Signoff
Mostafa KhamisSynopsys Fusion Compiler
 Files (Reference netlist, Implemented Netlist, .V and .Lib)

 Comparing implemented netlist with reference netlist (Synthesis stage netlist / golden netlist). We check whether
the logic output value given in both stages are same.

 There are two types:
 Formal Equivalence Checking:
 It is a method to find the functional equivalence of one design by comparing with the golden design. These
are the areas where equivalence checking is commonly used.
 It is always carried out using two inputs and result comes out by comparing the functionality of these two
input designs.

 Formal Property Checking
 It is a method to prove the correctness of design
 It is carried out by using either using property languages (PSL, SVA)
Formality Check - Signoff
Mostafa KhamisSynopsys Fusion Compiler
 Files (SAIF, .V, Lib, UPF and SDF)

 In Power analysis we calculate the power dissipation. Two types of power dissipation, (i) Leakage Power (ii)
Dynamic power. Leakage power is basically static power, for the dynamic power the activity factor is required,
which is present in the SAIF (switching activity interchange format) file.

 We also check for hot spot in the design, the hot spot is basically the small region where the higher power
dissipation is present.
Power Analysis – PrimePower - Signoff
Mostafa KhamisSynopsys Fusion Compiler
Mostafa KhamisSynopsys Fusion Compiler
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System

More Related Content

What's hot

Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSISurya Raj
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Olivier Coudert
 
Power Reduction Techniques
Power Reduction TechniquesPower Reduction Techniques
Power Reduction TechniquesRajesh M
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messagesMujahid Mohammed
 
1. Introduction to PnR.pptx
1. Introduction to PnR.pptx1. Introduction to PnR.pptx
1. Introduction to PnR.pptxAhmed Abdelazeem
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical designI World Tech
 
Timing closure document
Timing closure documentTiming closure document
Timing closure documentAlan Tran
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSubash John
 

What's hot (20)

Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSI
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
 
Power Reduction Techniques
Power Reduction TechniquesPower Reduction Techniques
Power Reduction Techniques
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Physical design
Physical design Physical design
Physical design
 
Placement.pdf
Placement.pdfPlacement.pdf
Placement.pdf
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messages
 
1. Introduction to PnR.pptx
1. Introduction to PnR.pptx1. Introduction to PnR.pptx
1. Introduction to PnR.pptx
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
 
GUI for DRV fix in ICC2
GUI for DRV fix in ICC2GUI for DRV fix in ICC2
GUI for DRV fix in ICC2
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
Timing closure document
Timing closure documentTiming closure document
Timing closure document
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
 

Similar to Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System

Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...ijceronline
 
Avionics Paperdoc
Avionics PaperdocAvionics Paperdoc
Avionics PaperdocFalascoj
 
Synergistic processing in cell's multicore architecture
Synergistic processing in cell's multicore architectureSynergistic processing in cell's multicore architecture
Synergistic processing in cell's multicore architectureMichael Gschwind
 
1.1. SOC AND MULTICORE ARCHITECTURES FOR EMBEDDED SYSTEMS (2).pdf
1.1. SOC AND MULTICORE ARCHITECTURES FOR EMBEDDED SYSTEMS (2).pdf1.1. SOC AND MULTICORE ARCHITECTURES FOR EMBEDDED SYSTEMS (2).pdf
1.1. SOC AND MULTICORE ARCHITECTURES FOR EMBEDDED SYSTEMS (2).pdfenriquealbabaena6868
 
The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...NECST Lab @ Politecnico di Milano
 
The next Trading Infrastructure
The next Trading InfrastructureThe next Trading Infrastructure
The next Trading Infrastructureenyx_com
 
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case StudyTraditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case StudyAltera Corporation
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC designAishwaryaRavishankar8
 
Performance of State-of-the-Art Cryptography on ARM-based Microprocessors
Performance of State-of-the-Art Cryptography on ARM-based MicroprocessorsPerformance of State-of-the-Art Cryptography on ARM-based Microprocessors
Performance of State-of-the-Art Cryptography on ARM-based MicroprocessorsHannes Tschofenig
 
Michael Gschwind, Cell Broadband Engine: Exploiting multiple levels of parall...
Michael Gschwind, Cell Broadband Engine: Exploiting multiple levels of parall...Michael Gschwind, Cell Broadband Engine: Exploiting multiple levels of parall...
Michael Gschwind, Cell Broadband Engine: Exploiting multiple levels of parall...Michael Gschwind
 
HARDWARE SOFTWARE CO-SIMULATION OF MOTION ESTIMATION IN H.264 ENCODER
HARDWARE SOFTWARE CO-SIMULATION OF MOTION ESTIMATION IN H.264 ENCODERHARDWARE SOFTWARE CO-SIMULATION OF MOTION ESTIMATION IN H.264 ENCODER
HARDWARE SOFTWARE CO-SIMULATION OF MOTION ESTIMATION IN H.264 ENCODERcscpconf
 
H64CSA_1A_023799_Osama
H64CSA_1A_023799_OsamaH64CSA_1A_023799_Osama
H64CSA_1A_023799_OsamaOsama Azim
 
UNIT 1 SONCA.pptx
UNIT 1 SONCA.pptxUNIT 1 SONCA.pptx
UNIT 1 SONCA.pptxmohan134666
 
HOMOGENEOUS MULTISTAGE ARCHITECTURE FOR REAL-TIME IMAGE PROCESSING
HOMOGENEOUS MULTISTAGE ARCHITECTURE FOR REAL-TIME IMAGE PROCESSINGHOMOGENEOUS MULTISTAGE ARCHITECTURE FOR REAL-TIME IMAGE PROCESSING
HOMOGENEOUS MULTISTAGE ARCHITECTURE FOR REAL-TIME IMAGE PROCESSINGcscpconf
 
DYNAMIC HW PRIORITY QUEUE BASED SCHEDULERS FOR EMBEDDED SYSTEM
DYNAMIC HW PRIORITY QUEUE BASED SCHEDULERS FOR EMBEDDED SYSTEMDYNAMIC HW PRIORITY QUEUE BASED SCHEDULERS FOR EMBEDDED SYSTEM
DYNAMIC HW PRIORITY QUEUE BASED SCHEDULERS FOR EMBEDDED SYSTEMijesajournal
 
Dynamic HW Priority Queue Based Schedulers for Embedded System[
Dynamic HW Priority Queue Based Schedulers for Embedded System[Dynamic HW Priority Queue Based Schedulers for Embedded System[
Dynamic HW Priority Queue Based Schedulers for Embedded System[ijesajournal
 

Similar to Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System (20)

Main (5)
Main (5)Main (5)
Main (5)
 
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
 
Avionics Paperdoc
Avionics PaperdocAvionics Paperdoc
Avionics Paperdoc
 
Synergistic processing in cell's multicore architecture
Synergistic processing in cell's multicore architectureSynergistic processing in cell's multicore architecture
Synergistic processing in cell's multicore architecture
 
1.1. SOC AND MULTICORE ARCHITECTURES FOR EMBEDDED SYSTEMS (2).pdf
1.1. SOC AND MULTICORE ARCHITECTURES FOR EMBEDDED SYSTEMS (2).pdf1.1. SOC AND MULTICORE ARCHITECTURES FOR EMBEDDED SYSTEMS (2).pdf
1.1. SOC AND MULTICORE ARCHITECTURES FOR EMBEDDED SYSTEMS (2).pdf
 
The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...
 
Priorities Shift In IC Design
Priorities Shift In IC DesignPriorities Shift In IC Design
Priorities Shift In IC Design
 
The next Trading Infrastructure
The next Trading InfrastructureThe next Trading Infrastructure
The next Trading Infrastructure
 
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case StudyTraditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
 
Performance of State-of-the-Art Cryptography on ARM-based Microprocessors
Performance of State-of-the-Art Cryptography on ARM-based MicroprocessorsPerformance of State-of-the-Art Cryptography on ARM-based Microprocessors
Performance of State-of-the-Art Cryptography on ARM-based Microprocessors
 
Michael Gschwind, Cell Broadband Engine: Exploiting multiple levels of parall...
Michael Gschwind, Cell Broadband Engine: Exploiting multiple levels of parall...Michael Gschwind, Cell Broadband Engine: Exploiting multiple levels of parall...
Michael Gschwind, Cell Broadband Engine: Exploiting multiple levels of parall...
 
HARDWARE SOFTWARE CO-SIMULATION OF MOTION ESTIMATION IN H.264 ENCODER
HARDWARE SOFTWARE CO-SIMULATION OF MOTION ESTIMATION IN H.264 ENCODERHARDWARE SOFTWARE CO-SIMULATION OF MOTION ESTIMATION IN H.264 ENCODER
HARDWARE SOFTWARE CO-SIMULATION OF MOTION ESTIMATION IN H.264 ENCODER
 
H64CSA_1A_023799_Osama
H64CSA_1A_023799_OsamaH64CSA_1A_023799_Osama
H64CSA_1A_023799_Osama
 
Dsa00170624
Dsa00170624Dsa00170624
Dsa00170624
 
UNIT 1 SONCA.pptx
UNIT 1 SONCA.pptxUNIT 1 SONCA.pptx
UNIT 1 SONCA.pptx
 
HOMOGENEOUS MULTISTAGE ARCHITECTURE FOR REAL-TIME IMAGE PROCESSING
HOMOGENEOUS MULTISTAGE ARCHITECTURE FOR REAL-TIME IMAGE PROCESSINGHOMOGENEOUS MULTISTAGE ARCHITECTURE FOR REAL-TIME IMAGE PROCESSING
HOMOGENEOUS MULTISTAGE ARCHITECTURE FOR REAL-TIME IMAGE PROCESSING
 
DYNAMIC HW PRIORITY QUEUE BASED SCHEDULERS FOR EMBEDDED SYSTEM
DYNAMIC HW PRIORITY QUEUE BASED SCHEDULERS FOR EMBEDDED SYSTEMDYNAMIC HW PRIORITY QUEUE BASED SCHEDULERS FOR EMBEDDED SYSTEM
DYNAMIC HW PRIORITY QUEUE BASED SCHEDULERS FOR EMBEDDED SYSTEM
 
Dynamic HW Priority Queue Based Schedulers for Embedded System[
Dynamic HW Priority Queue Based Schedulers for Embedded System[Dynamic HW Priority Queue Based Schedulers for Embedded System[
Dynamic HW Priority Queue Based Schedulers for Embedded System[
 
Aw4201337340
Aw4201337340Aw4201337340
Aw4201337340
 

Recently uploaded

HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSISrknatarajan
 
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...ranjana rawat
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingrknatarajan
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxupamatechverse
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 

Recently uploaded (20)

HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSIS
 
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptx
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 

Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System

  • 1. By/ Mostafa Khamis Mostafaa.soc@riotmicro.com Synopsys Fusion Compiler Comprehensive RTL-to-GDSII Implementation System
  • 2.  Introduction  Fusion Compiler Flow Outline Mostafa KhamisSynopsys Fusion Compiler
  • 4. There has been a significant uptick in demand for silicon in recent years, driven by market sectors including automotive, artificial intelligence, cloud computing, and internet of things (IoT) that have their own unique mix of design and implementation requirements. Design Challenges:  The advancements in process technology towards smaller geometries and design requirements for best performance, lowest power and smaller area in the fastest time, has resulted in a number of design implementation challenges. Emerging Market Segments Mostafa KhamisSynopsys Fusion Compiler
  • 5.  The requirements of FinFET and multi-patterning rules must be considered and addressed throughout the design flow.  DRC and DFM complexity and growing number of design rules, timing violation, power slacks, and other rules must be applied as early as synthesis in the design cycle.  Driving higher utilization and reducing area are key considerations when moving to smaller nodes to justify the cost of migration  Achieving high performance is extremely challenging due to aggressive targets, complex clocking mechanisms, and conflicting requirements with power and area.  Transistor scaling has resulted in faster transistors and wire delay has become the dominant factor at advanced nodes with highly resistive wires.  Optimizing total power has become even more critical due to long battery life requirements of SoC devices and high cooling cost for compute farms. Design Complexity Mostafa KhamisSynopsys Fusion Compiler Regarding, these design challenges, the constant pressure to meet the tight market window is a continuing struggle for designers. The quest for faster full- flow runtimes and convergence, tighter correlation to signoff, and minimal ECO iterations is a key concern for design teams.
  • 6.  Fusion Compiler is the next-generation RTL-to-GDSII implementation system architected to address the complexities of advanced node designs and deliver up to 20% improved PPA while reducing Time To Results (TTR) by 2X.   Fusion Compiler is built on a compact, single data model that enables seamless sharing of technology and engines for a comprehensive design closure.   Fusion Compiler has been built using best-in-class next-generation RTL synthesis, place-and-route and industry-standard golden signoff technologies for designing state-of-the-art system-on-chips (SoCs).   Fusion Compiler provides a complete RTL-to-GDSII design  system including RTL physical synthesis, design planning,  placement, clock tree synthesis (CTS), advanced routing,  physical synthesis-based optimization, chip finishing,  signoff quality analysis and ECO optimization. Intro to Fusion Compiler Mostafa KhamisSynopsys Fusion Compiler
  • 7.  Fusion architecture  Synthesis, P&R, signoff  Fusion of algorithms, engines, and  data model  3 Fusion types: ECO, signoff and test   Innovative Products  Industry unique Fusion compiler  Design compiler NXT  New TestMax, and IC validator NXT   Market leadership  AI-enhanced tools, AI-driven apps  Accelerating AI, Automative, 3DIC chips  Cloud-ready Synopsys Fusion Design Platform Mostafa KhamisSynopsys Fusion Compiler 20% Better quality-of-results and 2X faster time-to-results
  • 8.  20% better QoR and 2X faster TTR   Unified Physical Synthesis (UPS) optimizations that unify best-in-class technologies from next-generation synthesis and place-and-route for best QoR   Advanced placement algorithm provides improved design rule check (DRC) count, better pin access, and faster design closure   Accurate congestion estimation and prediction using route-driven estimation technology throughout flow for tight correlation and overall convergence   Total power optimization throughout the flow including unique technologies such as power-driven re-synthesis, re- constructive leakage and knee-based optimization   Accurate signoff quality timing, parasitic extraction, and power analysis engines to eliminate design iterations   Advanced physically-aware synthesis optimization with congestion, layer assignment, advanced CTS, and route-based optimization to deliver highest frequencies.   Advanced area recovery algorithms from synthesis to post-route optimization Key Highlights of Fusion Compiler Mostafa KhamisSynopsys Fusion Compiler
  • 9.  Is built on a single data model and contains both logical and physical information  to enable sharing of library, data, constraints, and  design intent throughout the implementation flow.   Gives synthesis and implementation tools access to  each others’ technology including sharing of  optimization engines between the two domains.   Integrates all synthesis, place-and-route, signoff  engines on the single data model which eliminates  data transfer and delivers fastest design closure.   Enables cross probing different views in the GUI  for an enhanced user experience and faster debugging Fusion Data Model Mostafa KhamisSynopsys Fusion Compiler
  • 10.  UPS is the nerve center and fundamental backbone for all optimization capabilities within Fusion Compiler, combining the best technologies from next-generation synthesis and place-and-route engines.   Fusion Compiler offers unique and innovative solutions that spans both RTL physical synthesis and place-and- route domains including interleaved floorplanning, synthesis, incremental compile, physically-aware data path representation, logic re-synthesis during physical implementation and a common UPS optimization engine that delivers unprecedented QoR and design convergence.   These provided technologies such as: next-generation placer - advanced 2D legalizer - concurrent clock and data (CCD) optimization - multi-bit banking and de-banking - total power-centric optimization - automatic non- default rule (NDR). Unified Physical Synthesis (UPS) Mostafa KhamisSynopsys Fusion Compiler
  • 12. Fusion Compiler offers a comprehensive RTL-to-GDSII low power-driven flow to optimize both leakage and dynamic power. The infrastructure includes different power optimization technologies throughout the flow. Total Power Optimization Mostafa KhamisSynopsys Fusion Compiler
  • 13.  Fusion Compiler enables the fastest turnaround time from RTL-to-GDSII by blurring the boundary between synthesis and physical implementation with a unified physical synthesis optimization flow.   Parallelization technologies, multi-threading and distributed processing of key engines throughout the flow utilize hardware resources effectively for fast design convergence and rapid design closure.   Incremental compile and placement allow for faster turnaround when netlist or constraint changes are observed. Fastest Time to Results Mostafa KhamisSynopsys Fusion Compiler
  • 15. Fusion Compiler Flow Mostafa KhamisSynopsys Fusion Compiler
  • 16.  Definition:  Design for Test is the art of adding functionality to the chip to enhance its observability and controllability so that it can be effectively tested for correct operation.  Observability: ease of observing a node by watching external output pins of the chip  Controllability: ease of forcing a node to 0 or 1 by driving input pins of the chip    Test Pattern Generation  Manufacturing test ideally would check every node in the circuit to prove it is not stuck at 1 or 0.  Apply the minimum set of test vectors necessary to prove each node is not stuck  Minimum set of test vectors determined through fault simulation using special EDA tools.    Automatic Test Pattern Generation:  Automatic Test Pattern Generation (ATPG) tools produce a good set of vectors for each block of combinational logic  Complete coverage requires a large number of vectors, raising the cost of test   Fault Coverage = # of detected faults / # of detectable faults.  Most products settle for covering 90+% of potential SA faults Design for Test Mostafa KhamisSynopsys Fusion Compiler
  • 17.  Inputs: A hierarchical netlist describing  Circuit blocks  The logic cells within the blocks,  All connections.   Goals:  Arrange the blocks on a chip (Area Estimation).  Decide routing areas (Channel Assignment)  Decide the location of the I/O pads w.r.t. block pins,  Decide the location and number of the power pads,  Decide the type of power distribution, and  Decide the location and type of clock distribution.  Chip aspect ratio and size to fit in the package cavity and metal levels.   Objectives:  Minimize the chip area  Minimize routing congestion  Minimize delay. Floorplanning Mostafa KhamisSynopsys Fusion Compiler
  • 18.  Arrange all logic cells within the flexible blocks  Objectives:  Minimize the total estimated interconnect length  Meet the timing requirements for critical nets  Minimize the interconnect congestion  Additional Objectives:  Minimize power dissipation  Minimize cross talk between signals  There are many placement algorithms: Min-Cut, Simulated Annealing, ….. Placement Mostafa KhamisSynopsys Fusion Compiler
  • 19.  Special tools insert multiple buffers with optimal sizing to distribute driver requirements to different elements.  In practice, use sufficient design margin on skew and jitter.  There are many distribution types techniques to solve the timing variations and the clock timing gap inside the design. Clock Tree Synthesis (CTS) Mostafa KhamisSynopsys Fusion Compiler
  • 20.  Physical timing optimization today is all based on ideal clocks timing  Timing opt is based on wrong information (like wire load models in the past)  Cannot see the real timing situation  Even if CTS skew=0, Propagated timing ≠ Ideal timing  Clock balancing imposes severe restrictions on timing optimization – for no benefit CTS Problems Mostafa KhamisSynopsys Fusion Compiler
  • 21. Clock Concurrent Technology Mostafa KhamisSynopsys Fusion Compiler
  • 23.  Design Rule Check (DRC):  Determines whether the layout of a chip satisfies a series of recommended parameters called design rules.  Design rules are physical checks of metal width, pitch and spacing requirement for the different layers with respect to different manufacturing process.   LVS:  Ensure the functionality of layout by comparing it with the corresponding schematic one  generated from netlist.  We need the netlist file, GDS (layout database), and LVS rule deck which is a set of  code written in Standard Verification Rule Format (SVRF), or TCL verification format (TVF).   Design For Manufacturing (DFM):  Files (GDS and Rule deck File)  It checks extra DRC: Redundant Via insertion, Wire spreading, Wire slotting, and  Metal filling.   Antenna Rules:  They are indicating maximum area of metal to connect to a gate, avoiding antenna effect. IC Validator - Signoff Mostafa KhamisSynopsys Fusion Compiler
  • 24.  The assumption of constant VDD and VSS is not valid on chip.  Excessive resistance on power supply lines causes ohmic drops which reduces the required voltage for large chips.  Intrinsic wire resistance leads to different voltage drops across the VSS distribution network  IR drop causes voltage drop which in-turn causes the delaying of the cells causing setup and hold violations. IR Drop – RedHawk - Signoff Mostafa KhamisSynopsys Fusion Compiler
  • 25. Solutions:  Reduce the resistance of power lines by using wide metal lines.  Use power rings and power straps to distribute the power.  Use multiple power pads in parallel to supply the network.  And others. IR Drop – RedHawk - Signoff Mostafa KhamisSynopsys Fusion Compiler
  • 26.  Files (Reference netlist, Implemented Netlist, .V and .Lib)   Comparing implemented netlist with reference netlist (Synthesis stage netlist / golden netlist). We check whether the logic output value given in both stages are same.   There are two types:  Formal Equivalence Checking:  It is a method to find the functional equivalence of one design by comparing with the golden design. These are the areas where equivalence checking is commonly used.  It is always carried out using two inputs and result comes out by comparing the functionality of these two input designs.   Formal Property Checking  It is a method to prove the correctness of design  It is carried out by using either using property languages (PSL, SVA) Formality Check - Signoff Mostafa KhamisSynopsys Fusion Compiler
  • 27.  Files (SAIF, .V, Lib, UPF and SDF)   In Power analysis we calculate the power dissipation. Two types of power dissipation, (i) Leakage Power (ii) Dynamic power. Leakage power is basically static power, for the dynamic power the activity factor is required, which is present in the SAIF (switching activity interchange format) file.   We also check for hot spot in the design, the hot spot is basically the small region where the higher power dissipation is present. Power Analysis – PrimePower - Signoff Mostafa KhamisSynopsys Fusion Compiler