SlideShare a Scribd company logo
1 of 7
Download to read offline
IOSR Journal of Electronics and Communication Engineering (IOSR-JECE)
e-ISSN: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. I (Nov - Dec .2015), PP 36-42
www.iosrjournals.org
DOI: 10.9790/2834-10613642 www.iosrjournals.org 36 | Page
Vlsi Design of Low Transition Low Power Test Pattern Generator
Using Fault Coverage Circuits
1
B.Praveen Kumar , 2
M.Ravindra Kumar , 3
Rajesh.Ch
1,2,3
(Electronics & Communication Engineering, Pydah College of Engineering & Technology, India)
Abstract: Now a day’s highly integrated multi layer board with IC’s is virtually impossible to be accessed
physically for testing. The major problem detected during testing a circuit includes test generation and gate to
I/O pin problems. In design of any circuit, consuming low power and less hardware utilization is an important
design parameter. Therefore reliable testing methods are introduced which reduces the cost of the hardware
required and also power consumed by the device. In this project a new fault coverage test pattern generator is
generated using a linear feedback shift register called FC-LFSR which can perform fault analysis and reduces
the total power of the circuit. In this test, it generates three intermediate patterns between the random patterns
which reduces the transitional activities of primary inputs so that the switching activities inside the circuit under
test will be reduced. The test patterns generated are applied to c17 benchmark circuit, whose results with fault
coverage of the circuit being tested. The simulation for this design is performed using Xilinx ISE software using
Verilog hardware description language.
I. Introduction
Test Pattern generation has long been carried out by using conventional Linear Feedback Shift
Registers (LFSR’s). LFSR’s are a series of flip-flop’s connected in series with feedback taps defined by the
generator polynomial. The seed value is loaded into the outputs of the flip-flops. The only input required to
generate a random sequence is an external clock where each clock pulse can produce a unique pattern at the
output of the flip-flops.
The number of inputs required by the circuit under test must match with the number of flip-flop outputs
of the LFSR. This test pattern is run on the circuit under test for desired fault coverage. The power consumed by
the chip under test is a measure of the switching activity of the logic inside the chip which depends largely on
the randomness of the applied input stimulus. Reduced correlation between the successive vectors of the applied
stimulus into the circuit under test can result in much higher power consumption by the device than the
budgeted power. A new low power pattern generation technique is implemented using a modified conventional
Linear Feedback Shift Register [1].
Need for using BIST technique
Today’s highly integrated multi-layer boards with fine-pitch ICs are virtually impossible to be accessed
physically for testing. Traditional board test methods which include functional test, only accesses the board's
primary I/Os, providing limited coverage and poor diagnostics for board-network fault. In circuit testing, an-
other traditional test method works by physically accessing each wire on the board via costly "bed of nails"
probes and testers. To identify reliable testing methods which will reduce the cost of test equipment, a research
to verify each VLSI testing problems has been conducted. The major problems detected so far are as follows:
1.1 Test Generation Problems
The large number of gates in VLSI circuits has pushed computer automatic-test-generation times to
weeks or months of computation. The numbers of test patterns are becoming too large to be handled by an
external tester and this has resulted in high computation costs and has outstripped reasonable available time for
production testing.
1.2 The Gate to I/O Pin Ratio Problem
As ICs grow in gate counts, it is no longer true that most gate nodes are directly accessible by one of
the pins on the package. This makes testing of internal nodes more difficult as they could neither no longer be
easily controlled by signal from an input pin (controllability) nor easily observed at an output pin (observe
ability). Pin counts go at a much slower rate than gate counts, which worsens the controllability and observe
ability of internal gate nodes.
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits
DOI: 10.9790/2834-10613642 www.iosrjournals.org 37 | Page
II. Testing Schemes
Power dissipation is a challenging problem for today’s Sys-tem-on-Chips (SoCs) design and test. The
power dissipation in CMOS technology is either static or dynamic. Static power dissipation is primarily due to
the leakage currents and contribution to the total power dissipation is very small. The dominant factor in the
power dissipation is the dynamic power which is consumed when the circuit nodes switch from 0 to 1. During
switching, the power is consumed due to the short circuit current flow and the charging of load capacitances [1].
Automatic test equipment (ATE) is the instrumentation used in external testing to apply test patterns to
the CUT, to analyze the responses from the CUT, and to mark the CUT as good or bad according to the
analyzed responses. External testing using ATE has a serious disadvantage, since the ATE (control unit and
memory) is extremely expensive and cost is expected to grow in the future as the number of chip pins increases.
As the complexity of modern chips increases, external testing with ATE becomes extremely expensive. Instead,
Built-In Self-Test (BIST) is becoming more common in the testing of digital VLSI circuits since it overcomes
the problems of external testing using ATE. BIST test patterns are not generated ex-eternally as in case of ATE
[3].
BIST perform self-testing and reducing dependence on an external ATE. BIST is a Design-for-
Testability (DFT) technique makes the electrical testing of a chip easier, faster, more efficient and less costly.
The important to choose the proper LFSR architecture for achieving appropriate fault coverage and consume
less power. Every architecture consumes different power for same polynomial. Applications of LFSR: Pattern
generator, Low power testing, Data compression, and Pseudo Random Bit Sequences (PRBS).
2.1. Bist Architecture
A typical BIST architecture consists of
• TPG - Test Pattern Generator
• TRA – Test Response Analyzer
• Control Unit
As Shown in “Fig. 1”
“Fig.1 Test Pattern Generator In BIST”
It generates test pattern for CUT. It will be dedicated circuit or a micro processor. Pattern generated
may be pseudo random numbers or deterministic sequence. Here we are using a linear feedback shift register for
generating random number. The Architecture for LFSR is as shown in “Fig. 2”
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits
DOI: 10.9790/2834-10613642 www.iosrjournals.org 38 | Page
“Fig.2 The Architecture for LFSR”
Tapping can be taken as we wish but as per taping change the LFSR output generate will change and as
we change in no of flip-flop the probability of repetition of random number will reduce. The initial value
loading to the LFSR is known as seed value.
B. Test Response Analyzer (TRA)
TRA will check the output of MISR and verify with the input of LFSR & give the result as error or not.
C. BIST control unit (BCU)
Control unit is used to control all the operations. Mainly control unit will do configuration of CUT in
test mode/Normal mode, feed seed value to LFSR, and control MISR & TRA. It will generate interrupt if an
error occurs. You can clear interrupt by interrupt clear signal.
D. Circuit under Test (CUT)
CUT is the circuit or chip in which we are going to apply BIST for testing stuck at zero or stuck at one
error.
III. Low Transition Pattern Generations
The technique of inserting 3 intermediate vectors is achieved by modifying the conventional LFSR
circuit with two additional levels of logic between the conventional flip flop outputs and the low power outputs
as shown in “Fig: 3” The first level of hierarchy from the top down includes logic circuit design for propagating
either the present or the next state of flip flop to the second level of hierarchy [4]. The second level of hierarchy
is a multiplexer function that provides for selecting between the two states (present or next) to be propagated to
the outputs as low power output. Minimal at best consisting of few logic gates.
“Fig.3 Low Power Test Pattern LFSR”
In the simulation environment the outputs of the flip-flops are loaded with the seed vector. The
feedback taps are selected pertinent to the characteristic polynomial xg
+x+1. Only 2 inputs pins namely test
enable and clock are required to activate the generation of the pattern as well as simulation of the design circuit.
It is also noteworthy here that the intermediate vectors in addition to aiding in reducing the number of
transitions can also empirically assist in detecting faults just as good as the conventional lsfr pattern [1][5]
description of the technique to produce low power pattern for bit the following is a description of a low power
test pattern generation technique as depicted in the 9 –bit lsfr. The feedback taps are designed for maximal
length lsfr generating all zeros and all one’s as well.
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits
DOI: 10.9790/2834-10613642 www.iosrjournals.org 39 | Page
3.1 Algorithm For Low Power Lfsr
The first step is to generate it, the first vector by enabling (clocking) the first 4 bits of the LFSR and
disabling (not clocking) the last 4 bits .this shifts the first 4 bits to the right by one bit .the feedback bits of the
lsfr are the outputs of the 8th
and the first flip-flop.the output of the 8th
flip-flop is 1 and the output of the first
flip-flop is 0. The exclusive-or of the 8th
flip-flop (logic 1 in this case) and the first flip-flop (logic 0 in this case)
is input (1 exor 0 =1) into the first d flip flop the new pattern in the first four bits of the lsfr is 1010.note that the
shaded register is clocked along with the first 4 bits of the lsfr.
So the input of the shaded flip-flop is the output of the 4th
flip-flop which in this case is 0. Also note
that prior to the first clock, the input of the shaded register was the seed value of the 4 th
at the output of the 4 th
flip-flop which in this case is 0. So after the first clock this value of 0 will now appear at the output of the
shaded flip-flop. In other words the value of the 4 th
output is stored in this shaded register and is used in the next
few steps. The first 4 shifted bits of the LFSR and the last 4 Th
un-shifted bits (i.e. the seed value) are propagated
as ti (1010 1011) to the final outputs. Next few steps involve generating the 3 intermediate patterns from it.
These patterns are defined as Tk1, Tk2 and Tk3 shown in “Fig. 4.”below flow.Tk1 is generated by
maintaining (disabling the clock to the first 4 bits)the first four bits of the LFSR outputs(as is from it) as the
final first four low power outputs 1010.note that the clock to the last four bits of the I.fsr is also disabled.
The last four bits however are the outputs from the injector circuits. The injector circuit compares the
next value (the inputs of the D –flip-flop) with the current value (the output of the D flip-flop). According to Ti,
the outputs(current values) of the last 4 bits of the LFSR are 1011. The next values are the values at the inputs of
the D-flip-flops which in this case are 0101. Compare the current values (1011) bit by bit with the next values
(0101). if the values bit by bit are not the same then use the random generator feedback R (in this case is logic
1)as the bit value as shown in the schematic above. If however both values bit by bit are the same then
propagate that bit value to output as opposed to the R bit. This bit by bit comparison gives us the last four bits of
Tk1 to be 1111.therfore Tk1=10101111.next step is to generate Tk2.shift the last 4 flip-flops to the right one bit
but do not shift the first 4 flip –flops to the right. The clock to the first 4 bits the shaded flip-flop is disabled .the
clock to the last 4 bits is enabled. Propagate the outputs of the flip-flops of the entire LFSR as opposed to the
outputs of the injection circuits to the outputs (low power). The injection circuits are disabled .as in Tk1,
maintain the first four LFSR outputs (1010) as the low power outputs. Again from Tk1, the inputs of the last
four d flip-flops from the previous step (generating Tk1) are 0101.also note that the output of the shaded register
is 0 from the previous step (generating Tk1).therefore the input of the 5 th
flip-flop is a 0. The outputs of the last
4flip-flops are 0101resulting in Tk2=10100101.
The 3rd
intermediate vector Tk3 is generated via disabling the clock to the entire LFSR. Propagate the
first 4 outputs from the injection circuit as the first 4 low power outputs and maintain the last 4low power
outputs the same as Tk2. generating injection circuit outputs for Tk3 is conceptually the same as explained
above in generating Tk1current values (the outputs of the flip-flops) of the first four flip-flops are compared
with the next values (the inputs of the flip-flops) of the flip-flops therefore the logical feed forward value of r is
1. The feedback value from the first flip-flop is also 1as per the current values above. The exclusive or of two
ones is a 0. Therefore the input to the first flip-flop is a 0 which is also the next state of the first flip-flop. Hence
the next values are 0 for the first flip-flop and 101 for the 2nd
3 rd
and4 rd
flip-floprespectively.the next values are
0101.the first four outputs from the injection circuit are 1111. The last 4 outputs are the same as Tk2 which are
0101 resulting in the 3rd
and final intermediate vector Tk3=1111 0101.generating Ti2 is quite similar to
generating it. As in Tk3 the outputs of the last four LFSR flops are 0101.the outputs of the first 4 flip-flops of
the LFSR are the current values which are 1010.therefore the seed vector for generating Ti2 is 1010 0101.shift
the first four bits of the LFSR plus the shadedflip-flop.do not clock the last four flip-flops. Propagate the outputs
of the entire LFSR to the final low power outputs. The output of the 8th
flip-flop from the previous step
(generating Tk3) is a 1 and the output of the first flip-flop from the previous step(generating Tk3) is also a 1 .
The exclusive or of the output of the 8th
flip-flop and the first flip-flop is 0 .therefore the input to the
first flip-flop will be a 0.
The inputs to the 2nd
,3 rd
and 4th
the shaded flip-flops are 1010.these are also the current values from the
previous step (generating Tk3).shifting the first four flip-flops of the LFSR to the right by one bit results in 0101
as the outputs of the first four flip-flops. Therefore Ti2 generated is 0101 0101.
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits
DOI: 10.9790/2834-10613642 www.iosrjournals.org 40 | Page
Fig.4 C17 Bench Mark Circuit
IV. Simulation Results
The design is verified by performing behavioral simulation in “Fig.5”,”Fig.6” and “Fig.7” to do a high
–level or behavioral simulator is used, which executes the design by interpreting the verilog code like any other
programming language, i.e. regardless of the target architecture .at this stage, FPGA development is much like
software development signals and variables may be watched procedures and functions may be traced and
breakpoints may be set. The entire process is very fast, as the design is not synthesized, thus giving the
developer a quick and complete understanding of the design. The downside of behavioral simulation is that
specific properties of the target architecture namely timing and resource usage are not covered.
“Fig.5 RTL Schematic of LT’LFSR.”
“Fig.6 Simulation Report of LT-LFSR with Fault”
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits
DOI: 10.9790/2834-10613642 www.iosrjournals.org 41 | Page
“Fig.7 Simulation Report Of LT-LFSR Without Fault”
V. Synthesis Result
Synthesis is the process of translating verilog HDL to a net list ,which is built from a structure of
micros, e.g. adders, multiplexers and shift registers chip synthesizers perform optimizations especially hierarchy
flattening and optimization of combinational paths. Specific cores like RAMS or ROMS are treated as black
boxes. Recent tool can duplicate registers, perform re-timing or optimize this result according to given
constraints shown in “Fig. 9”, “Table 1” and “Table 2”
“Fig.8 Synthesis Results For LT-LFSR.”
Existing results Proposed result
Logic Utilization Used(Available) Used(Available)
Number of slice Flip-flops 251(3,840) 18(4,800)
Number of 4 input LUTs 12(3,840) 16(2,400)
Number of occupied slices 45(1,920) 9(600)
Number of slices
containing only related
logic
45(21) 9(20)
Number of slices
containing unrelated logic
0(21) 7(20)
Number of bounded IOBS 64(173) 14(102)
Power consumption 14 mw 12.19 mw
Fault coverage 100% 100%
“Table I. Synthesis And Par Report”
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits
DOI: 10.9790/2834-10613642 www.iosrjournals.org 42 | Page
Circuit Frequency(
MHZ)
Total
power(
mw)
Dynamic
power(mw)
Quiescent
power(mw)
C17 139 12.19 0.96 11.23
“Table Ii. Power Analysis Report”
VI. Conclusion
The proposed approach shows the concept of reducing the transitions in the test pattern generated; the
transition is reduced by increasing the correlation between the successive bits. The simulation results shows that
how the patterns are generated for the applied seed vector. This paper presents the implementation with regard
to verilog language. Synthesizing and implementation (i.e. Translate, Map And Place And Route) of the code is
carried out on Xilinx- project navigator, ISE12.li suite .the power reports shows that the proposed low power
LFSR consumes less power (12.19mw) during testing by taking the benchmark circuit C17.in future there is a
chance to reduce the power somewhat more by doing modifications in the proposed architecture.
References
[1] Afzali.A, Alisaface.M, Atoofian.E, Hatami.S and Navabi.Z,A New Low-Power Scan-Path Architecture,‟‟ IEEE International
Symposium, Vol.5, pp.5278 - 5281, 23-26 May 2005.
[2] Crouch.A, Design-for-Test for Digital IC's and Embedded Core Systems,‟‟ Prentice Hall, 1999.
[3] M.Aubertin,Member,IEEE, J. M. Pierre Langlois, Member, IEEE, Munshin,Member,IEEE “A New Approach to Design Fault
Coverage Circuit with Efficient Hardware Utilization for Testing Applications” IEEE transactions on very large scale integration
(vlsi) systems, vol. 21, no. 5, may 2013
[4] Mehrdad Nourani, Mohammad Tehranipoor, Nisar Ahmed,„„Low- Transition LFSR for BIST-Based Applications,‟‟14th Asian
Test Symposium, pp. 138- 143, 18-21 Dec. 2005.176
[5] M. ElShoukry, C. P. Ravikumar, and M. Tehranipoor, “Partial gating optimization for power reduction during test application,” in
Proceedings of the 14th Asian Test Symposium (ATS '05), pp. 242– 245, ind, December 2005.
[6] N. Basturkmen, S. Reddy and I. Pomeranz, “A Low power Pseudo-Random BIST Technique,” in Proc. Int. Conf. on Computer
Design (ICCD‟02), pp. 468-473, 2002
[7] P. Girard, “Survey of low-power testing of VLSI circuits,” IEEE Design and Test of Computers, vol. 19, no. 3, pp. 80–90, 2002.
[8] S.Wang, “Generation of Low Power Dissipation and High Fault Coverage Patterns for Scan-Based BIST,” in Proc. Int. Test Conf.
(ITC‟02), pp. 834-843, 2002.

More Related Content

What's hot

Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...ijsrd.com
 
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...IJERA Editor
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...ijcisjournal
 
Power Optimization with Efficient Test Logic Partitioning for Full Chip Design
Power Optimization with Efficient Test Logic Partitioning for Full Chip DesignPower Optimization with Efficient Test Logic Partitioning for Full Chip Design
Power Optimization with Efficient Test Logic Partitioning for Full Chip DesignPankaj Singh
 
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...IJEACS
 
Library Characterization Flow
Library Characterization FlowLibrary Characterization Flow
Library Characterization FlowSatish Grandhi
 
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...VLSICS Design
 
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET Journal
 
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...IRJET Journal
 
DESIGN OF FAST TRANSIENT RESPONSE, LOW DROPOUT REGULATOR WITH ENHANCED STEADY...
DESIGN OF FAST TRANSIENT RESPONSE, LOW DROPOUT REGULATOR WITH ENHANCED STEADY...DESIGN OF FAST TRANSIENT RESPONSE, LOW DROPOUT REGULATOR WITH ENHANCED STEADY...
DESIGN OF FAST TRANSIENT RESPONSE, LOW DROPOUT REGULATOR WITH ENHANCED STEADY...ijcsitcejournal
 
Energy efficient and high speed domino logic circuits
Energy efficient and high speed domino logic circuitsEnergy efficient and high speed domino logic circuits
Energy efficient and high speed domino logic circuitsIJERA Editor
 
International Journal of Computational Engineering Research(IJCER)
 International Journal of Computational Engineering Research(IJCER)  International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER) ijceronline
 
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit DesignArea Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Designijsrd.com
 
Gain improvement of two stage opamp through body bias in 45nm cmos technology
Gain improvement of two stage opamp through body bias in 45nm cmos technologyGain improvement of two stage opamp through body bias in 45nm cmos technology
Gain improvement of two stage opamp through body bias in 45nm cmos technologyeSAT Publishing House
 
Design High Performance Combinational Circuits Using Output Prediction Logic-...
Design High Performance Combinational Circuits Using Output Prediction Logic-...Design High Performance Combinational Circuits Using Output Prediction Logic-...
Design High Performance Combinational Circuits Using Output Prediction Logic-...IOSRJECE
 

What's hot (18)

Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...
 
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
 
Ijetcas14 632
Ijetcas14 632Ijetcas14 632
Ijetcas14 632
 
Ijetcas14 647
Ijetcas14 647Ijetcas14 647
Ijetcas14 647
 
Power Optimization with Efficient Test Logic Partitioning for Full Chip Design
Power Optimization with Efficient Test Logic Partitioning for Full Chip DesignPower Optimization with Efficient Test Logic Partitioning for Full Chip Design
Power Optimization with Efficient Test Logic Partitioning for Full Chip Design
 
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
 
Library Characterization Flow
Library Characterization FlowLibrary Characterization Flow
Library Characterization Flow
 
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
 
Ijetcas14 648
Ijetcas14 648Ijetcas14 648
Ijetcas14 648
 
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
 
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
 
DESIGN OF FAST TRANSIENT RESPONSE, LOW DROPOUT REGULATOR WITH ENHANCED STEADY...
DESIGN OF FAST TRANSIENT RESPONSE, LOW DROPOUT REGULATOR WITH ENHANCED STEADY...DESIGN OF FAST TRANSIENT RESPONSE, LOW DROPOUT REGULATOR WITH ENHANCED STEADY...
DESIGN OF FAST TRANSIENT RESPONSE, LOW DROPOUT REGULATOR WITH ENHANCED STEADY...
 
Energy efficient and high speed domino logic circuits
Energy efficient and high speed domino logic circuitsEnergy efficient and high speed domino logic circuits
Energy efficient and high speed domino logic circuits
 
International Journal of Computational Engineering Research(IJCER)
 International Journal of Computational Engineering Research(IJCER)  International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit DesignArea Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
 
Gain improvement of two stage opamp through body bias in 45nm cmos technology
Gain improvement of two stage opamp through body bias in 45nm cmos technologyGain improvement of two stage opamp through body bias in 45nm cmos technology
Gain improvement of two stage opamp through body bias in 45nm cmos technology
 
Design High Performance Combinational Circuits Using Output Prediction Logic-...
Design High Performance Combinational Circuits Using Output Prediction Logic-...Design High Performance Combinational Circuits Using Output Prediction Logic-...
Design High Performance Combinational Circuits Using Output Prediction Logic-...
 

Similar to Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits

IRJET- UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
IRJET-  	  UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGAIRJET-  	  UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
IRJET- UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGAIRJET Journal
 
Design for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsDesign for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsIJERA Editor
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDilip Mathuria
 
Online and Offline Testing Of C-Bist Using Sram
Online and Offline Testing Of C-Bist Using SramOnline and Offline Testing Of C-Bist Using Sram
Online and Offline Testing Of C-Bist Using Sramiosrjce
 
A BIST GENERATOR CAD TOOL FOR NUMERIC INTEGRATED CIRCUITS
A BIST GENERATOR CAD TOOL FOR NUMERIC INTEGRATED CIRCUITSA BIST GENERATOR CAD TOOL FOR NUMERIC INTEGRATED CIRCUITS
A BIST GENERATOR CAD TOOL FOR NUMERIC INTEGRATED CIRCUITSVLSICS Design
 
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...VLSICS Design
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...IRJET Journal
 
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...IJMTST Journal
 
IRJET- Implementation of TPG-LFSR with Reseeding Pattern Value
IRJET-  	  Implementation of TPG-LFSR with Reseeding Pattern ValueIRJET-  	  Implementation of TPG-LFSR with Reseeding Pattern Value
IRJET- Implementation of TPG-LFSR with Reseeding Pattern ValueIRJET Journal
 
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipOptimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipIDES Editor
 
IllinoisScan_seminar.ppt
IllinoisScan_seminar.pptIllinoisScan_seminar.ppt
IllinoisScan_seminar.pptcoolbusinessman
 
Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingIRJET Journal
 
Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...ijcisjournal
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleCSCJournals
 

Similar to Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits (20)

Cg34503507
Cg34503507Cg34503507
Cg34503507
 
IRJET- UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
IRJET-  	  UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGAIRJET-  	  UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
IRJET- UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
 
Design for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsDesign for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi Circuits
 
Fv3610681072
Fv3610681072Fv3610681072
Fv3610681072
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generation
 
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
 
Online and Offline Testing Of C-Bist Using Sram
Online and Offline Testing Of C-Bist Using SramOnline and Offline Testing Of C-Bist Using Sram
Online and Offline Testing Of C-Bist Using Sram
 
P358387
P358387P358387
P358387
 
A BIST GENERATOR CAD TOOL FOR NUMERIC INTEGRATED CIRCUITS
A BIST GENERATOR CAD TOOL FOR NUMERIC INTEGRATED CIRCUITSA BIST GENERATOR CAD TOOL FOR NUMERIC INTEGRATED CIRCUITS
A BIST GENERATOR CAD TOOL FOR NUMERIC INTEGRATED CIRCUITS
 
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
 
Cn32556558
Cn32556558Cn32556558
Cn32556558
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
 
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
 
IRJET- Implementation of TPG-LFSR with Reseeding Pattern Value
IRJET-  	  Implementation of TPG-LFSR with Reseeding Pattern ValueIRJET-  	  Implementation of TPG-LFSR with Reseeding Pattern Value
IRJET- Implementation of TPG-LFSR with Reseeding Pattern Value
 
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipOptimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
 
IllinoisScan_seminar.ppt
IllinoisScan_seminar.pptIllinoisScan_seminar.ppt
IllinoisScan_seminar.ppt
 
Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gating
 
Soc.pptx
Soc.pptxSoc.pptx
Soc.pptx
 
Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
 

More from iosrjce

An Examination of Effectuation Dimension as Financing Practice of Small and M...
An Examination of Effectuation Dimension as Financing Practice of Small and M...An Examination of Effectuation Dimension as Financing Practice of Small and M...
An Examination of Effectuation Dimension as Financing Practice of Small and M...iosrjce
 
Does Goods and Services Tax (GST) Leads to Indian Economic Development?
Does Goods and Services Tax (GST) Leads to Indian Economic Development?Does Goods and Services Tax (GST) Leads to Indian Economic Development?
Does Goods and Services Tax (GST) Leads to Indian Economic Development?iosrjce
 
Childhood Factors that influence success in later life
Childhood Factors that influence success in later lifeChildhood Factors that influence success in later life
Childhood Factors that influence success in later lifeiosrjce
 
Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...
Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...
Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...iosrjce
 
Customer’s Acceptance of Internet Banking in Dubai
Customer’s Acceptance of Internet Banking in DubaiCustomer’s Acceptance of Internet Banking in Dubai
Customer’s Acceptance of Internet Banking in Dubaiiosrjce
 
A Study of Employee Satisfaction relating to Job Security & Working Hours amo...
A Study of Employee Satisfaction relating to Job Security & Working Hours amo...A Study of Employee Satisfaction relating to Job Security & Working Hours amo...
A Study of Employee Satisfaction relating to Job Security & Working Hours amo...iosrjce
 
Consumer Perspectives on Brand Preference: A Choice Based Model Approach
Consumer Perspectives on Brand Preference: A Choice Based Model ApproachConsumer Perspectives on Brand Preference: A Choice Based Model Approach
Consumer Perspectives on Brand Preference: A Choice Based Model Approachiosrjce
 
Student`S Approach towards Social Network Sites
Student`S Approach towards Social Network SitesStudent`S Approach towards Social Network Sites
Student`S Approach towards Social Network Sitesiosrjce
 
Broadcast Management in Nigeria: The systems approach as an imperative
Broadcast Management in Nigeria: The systems approach as an imperativeBroadcast Management in Nigeria: The systems approach as an imperative
Broadcast Management in Nigeria: The systems approach as an imperativeiosrjce
 
A Study on Retailer’s Perception on Soya Products with Special Reference to T...
A Study on Retailer’s Perception on Soya Products with Special Reference to T...A Study on Retailer’s Perception on Soya Products with Special Reference to T...
A Study on Retailer’s Perception on Soya Products with Special Reference to T...iosrjce
 
A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...
A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...
A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...iosrjce
 
Consumers’ Behaviour on Sony Xperia: A Case Study on Bangladesh
Consumers’ Behaviour on Sony Xperia: A Case Study on BangladeshConsumers’ Behaviour on Sony Xperia: A Case Study on Bangladesh
Consumers’ Behaviour on Sony Xperia: A Case Study on Bangladeshiosrjce
 
Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...
Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...
Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...iosrjce
 
Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...
Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...
Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...iosrjce
 
Media Innovations and its Impact on Brand awareness & Consideration
Media Innovations and its Impact on Brand awareness & ConsiderationMedia Innovations and its Impact on Brand awareness & Consideration
Media Innovations and its Impact on Brand awareness & Considerationiosrjce
 
Customer experience in supermarkets and hypermarkets – A comparative study
Customer experience in supermarkets and hypermarkets – A comparative studyCustomer experience in supermarkets and hypermarkets – A comparative study
Customer experience in supermarkets and hypermarkets – A comparative studyiosrjce
 
Social Media and Small Businesses: A Combinational Strategic Approach under t...
Social Media and Small Businesses: A Combinational Strategic Approach under t...Social Media and Small Businesses: A Combinational Strategic Approach under t...
Social Media and Small Businesses: A Combinational Strategic Approach under t...iosrjce
 
Secretarial Performance and the Gender Question (A Study of Selected Tertiary...
Secretarial Performance and the Gender Question (A Study of Selected Tertiary...Secretarial Performance and the Gender Question (A Study of Selected Tertiary...
Secretarial Performance and the Gender Question (A Study of Selected Tertiary...iosrjce
 
Implementation of Quality Management principles at Zimbabwe Open University (...
Implementation of Quality Management principles at Zimbabwe Open University (...Implementation of Quality Management principles at Zimbabwe Open University (...
Implementation of Quality Management principles at Zimbabwe Open University (...iosrjce
 
Organizational Conflicts Management In Selected Organizaions In Lagos State, ...
Organizational Conflicts Management In Selected Organizaions In Lagos State, ...Organizational Conflicts Management In Selected Organizaions In Lagos State, ...
Organizational Conflicts Management In Selected Organizaions In Lagos State, ...iosrjce
 

More from iosrjce (20)

An Examination of Effectuation Dimension as Financing Practice of Small and M...
An Examination of Effectuation Dimension as Financing Practice of Small and M...An Examination of Effectuation Dimension as Financing Practice of Small and M...
An Examination of Effectuation Dimension as Financing Practice of Small and M...
 
Does Goods and Services Tax (GST) Leads to Indian Economic Development?
Does Goods and Services Tax (GST) Leads to Indian Economic Development?Does Goods and Services Tax (GST) Leads to Indian Economic Development?
Does Goods and Services Tax (GST) Leads to Indian Economic Development?
 
Childhood Factors that influence success in later life
Childhood Factors that influence success in later lifeChildhood Factors that influence success in later life
Childhood Factors that influence success in later life
 
Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...
Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...
Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...
 
Customer’s Acceptance of Internet Banking in Dubai
Customer’s Acceptance of Internet Banking in DubaiCustomer’s Acceptance of Internet Banking in Dubai
Customer’s Acceptance of Internet Banking in Dubai
 
A Study of Employee Satisfaction relating to Job Security & Working Hours amo...
A Study of Employee Satisfaction relating to Job Security & Working Hours amo...A Study of Employee Satisfaction relating to Job Security & Working Hours amo...
A Study of Employee Satisfaction relating to Job Security & Working Hours amo...
 
Consumer Perspectives on Brand Preference: A Choice Based Model Approach
Consumer Perspectives on Brand Preference: A Choice Based Model ApproachConsumer Perspectives on Brand Preference: A Choice Based Model Approach
Consumer Perspectives on Brand Preference: A Choice Based Model Approach
 
Student`S Approach towards Social Network Sites
Student`S Approach towards Social Network SitesStudent`S Approach towards Social Network Sites
Student`S Approach towards Social Network Sites
 
Broadcast Management in Nigeria: The systems approach as an imperative
Broadcast Management in Nigeria: The systems approach as an imperativeBroadcast Management in Nigeria: The systems approach as an imperative
Broadcast Management in Nigeria: The systems approach as an imperative
 
A Study on Retailer’s Perception on Soya Products with Special Reference to T...
A Study on Retailer’s Perception on Soya Products with Special Reference to T...A Study on Retailer’s Perception on Soya Products with Special Reference to T...
A Study on Retailer’s Perception on Soya Products with Special Reference to T...
 
A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...
A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...
A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...
 
Consumers’ Behaviour on Sony Xperia: A Case Study on Bangladesh
Consumers’ Behaviour on Sony Xperia: A Case Study on BangladeshConsumers’ Behaviour on Sony Xperia: A Case Study on Bangladesh
Consumers’ Behaviour on Sony Xperia: A Case Study on Bangladesh
 
Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...
Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...
Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...
 
Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...
Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...
Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...
 
Media Innovations and its Impact on Brand awareness & Consideration
Media Innovations and its Impact on Brand awareness & ConsiderationMedia Innovations and its Impact on Brand awareness & Consideration
Media Innovations and its Impact on Brand awareness & Consideration
 
Customer experience in supermarkets and hypermarkets – A comparative study
Customer experience in supermarkets and hypermarkets – A comparative studyCustomer experience in supermarkets and hypermarkets – A comparative study
Customer experience in supermarkets and hypermarkets – A comparative study
 
Social Media and Small Businesses: A Combinational Strategic Approach under t...
Social Media and Small Businesses: A Combinational Strategic Approach under t...Social Media and Small Businesses: A Combinational Strategic Approach under t...
Social Media and Small Businesses: A Combinational Strategic Approach under t...
 
Secretarial Performance and the Gender Question (A Study of Selected Tertiary...
Secretarial Performance and the Gender Question (A Study of Selected Tertiary...Secretarial Performance and the Gender Question (A Study of Selected Tertiary...
Secretarial Performance and the Gender Question (A Study of Selected Tertiary...
 
Implementation of Quality Management principles at Zimbabwe Open University (...
Implementation of Quality Management principles at Zimbabwe Open University (...Implementation of Quality Management principles at Zimbabwe Open University (...
Implementation of Quality Management principles at Zimbabwe Open University (...
 
Organizational Conflicts Management In Selected Organizaions In Lagos State, ...
Organizational Conflicts Management In Selected Organizaions In Lagos State, ...Organizational Conflicts Management In Selected Organizaions In Lagos State, ...
Organizational Conflicts Management In Selected Organizaions In Lagos State, ...
 

Recently uploaded

Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLDeelipZope
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .Satyam Kumar
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...asadnawaz62
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)dollysharma2066
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2RajaP95
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxk795866
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 

Recently uploaded (20)

young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCL
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
Churning of Butter, Factors affecting .
Churning of Butter, Factors affecting  .Churning of Butter, Factors affecting  .
Churning of Butter, Factors affecting .
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptx
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 

Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits

  • 1. IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-ISSN: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. I (Nov - Dec .2015), PP 36-42 www.iosrjournals.org DOI: 10.9790/2834-10613642 www.iosrjournals.org 36 | Page Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits 1 B.Praveen Kumar , 2 M.Ravindra Kumar , 3 Rajesh.Ch 1,2,3 (Electronics & Communication Engineering, Pydah College of Engineering & Technology, India) Abstract: Now a day’s highly integrated multi layer board with IC’s is virtually impossible to be accessed physically for testing. The major problem detected during testing a circuit includes test generation and gate to I/O pin problems. In design of any circuit, consuming low power and less hardware utilization is an important design parameter. Therefore reliable testing methods are introduced which reduces the cost of the hardware required and also power consumed by the device. In this project a new fault coverage test pattern generator is generated using a linear feedback shift register called FC-LFSR which can perform fault analysis and reduces the total power of the circuit. In this test, it generates three intermediate patterns between the random patterns which reduces the transitional activities of primary inputs so that the switching activities inside the circuit under test will be reduced. The test patterns generated are applied to c17 benchmark circuit, whose results with fault coverage of the circuit being tested. The simulation for this design is performed using Xilinx ISE software using Verilog hardware description language. I. Introduction Test Pattern generation has long been carried out by using conventional Linear Feedback Shift Registers (LFSR’s). LFSR’s are a series of flip-flop’s connected in series with feedback taps defined by the generator polynomial. The seed value is loaded into the outputs of the flip-flops. The only input required to generate a random sequence is an external clock where each clock pulse can produce a unique pattern at the output of the flip-flops. The number of inputs required by the circuit under test must match with the number of flip-flop outputs of the LFSR. This test pattern is run on the circuit under test for desired fault coverage. The power consumed by the chip under test is a measure of the switching activity of the logic inside the chip which depends largely on the randomness of the applied input stimulus. Reduced correlation between the successive vectors of the applied stimulus into the circuit under test can result in much higher power consumption by the device than the budgeted power. A new low power pattern generation technique is implemented using a modified conventional Linear Feedback Shift Register [1]. Need for using BIST technique Today’s highly integrated multi-layer boards with fine-pitch ICs are virtually impossible to be accessed physically for testing. Traditional board test methods which include functional test, only accesses the board's primary I/Os, providing limited coverage and poor diagnostics for board-network fault. In circuit testing, an- other traditional test method works by physically accessing each wire on the board via costly "bed of nails" probes and testers. To identify reliable testing methods which will reduce the cost of test equipment, a research to verify each VLSI testing problems has been conducted. The major problems detected so far are as follows: 1.1 Test Generation Problems The large number of gates in VLSI circuits has pushed computer automatic-test-generation times to weeks or months of computation. The numbers of test patterns are becoming too large to be handled by an external tester and this has resulted in high computation costs and has outstripped reasonable available time for production testing. 1.2 The Gate to I/O Pin Ratio Problem As ICs grow in gate counts, it is no longer true that most gate nodes are directly accessible by one of the pins on the package. This makes testing of internal nodes more difficult as they could neither no longer be easily controlled by signal from an input pin (controllability) nor easily observed at an output pin (observe ability). Pin counts go at a much slower rate than gate counts, which worsens the controllability and observe ability of internal gate nodes.
  • 2. Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits DOI: 10.9790/2834-10613642 www.iosrjournals.org 37 | Page II. Testing Schemes Power dissipation is a challenging problem for today’s Sys-tem-on-Chips (SoCs) design and test. The power dissipation in CMOS technology is either static or dynamic. Static power dissipation is primarily due to the leakage currents and contribution to the total power dissipation is very small. The dominant factor in the power dissipation is the dynamic power which is consumed when the circuit nodes switch from 0 to 1. During switching, the power is consumed due to the short circuit current flow and the charging of load capacitances [1]. Automatic test equipment (ATE) is the instrumentation used in external testing to apply test patterns to the CUT, to analyze the responses from the CUT, and to mark the CUT as good or bad according to the analyzed responses. External testing using ATE has a serious disadvantage, since the ATE (control unit and memory) is extremely expensive and cost is expected to grow in the future as the number of chip pins increases. As the complexity of modern chips increases, external testing with ATE becomes extremely expensive. Instead, Built-In Self-Test (BIST) is becoming more common in the testing of digital VLSI circuits since it overcomes the problems of external testing using ATE. BIST test patterns are not generated ex-eternally as in case of ATE [3]. BIST perform self-testing and reducing dependence on an external ATE. BIST is a Design-for- Testability (DFT) technique makes the electrical testing of a chip easier, faster, more efficient and less costly. The important to choose the proper LFSR architecture for achieving appropriate fault coverage and consume less power. Every architecture consumes different power for same polynomial. Applications of LFSR: Pattern generator, Low power testing, Data compression, and Pseudo Random Bit Sequences (PRBS). 2.1. Bist Architecture A typical BIST architecture consists of • TPG - Test Pattern Generator • TRA – Test Response Analyzer • Control Unit As Shown in “Fig. 1” “Fig.1 Test Pattern Generator In BIST” It generates test pattern for CUT. It will be dedicated circuit or a micro processor. Pattern generated may be pseudo random numbers or deterministic sequence. Here we are using a linear feedback shift register for generating random number. The Architecture for LFSR is as shown in “Fig. 2”
  • 3. Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits DOI: 10.9790/2834-10613642 www.iosrjournals.org 38 | Page “Fig.2 The Architecture for LFSR” Tapping can be taken as we wish but as per taping change the LFSR output generate will change and as we change in no of flip-flop the probability of repetition of random number will reduce. The initial value loading to the LFSR is known as seed value. B. Test Response Analyzer (TRA) TRA will check the output of MISR and verify with the input of LFSR & give the result as error or not. C. BIST control unit (BCU) Control unit is used to control all the operations. Mainly control unit will do configuration of CUT in test mode/Normal mode, feed seed value to LFSR, and control MISR & TRA. It will generate interrupt if an error occurs. You can clear interrupt by interrupt clear signal. D. Circuit under Test (CUT) CUT is the circuit or chip in which we are going to apply BIST for testing stuck at zero or stuck at one error. III. Low Transition Pattern Generations The technique of inserting 3 intermediate vectors is achieved by modifying the conventional LFSR circuit with two additional levels of logic between the conventional flip flop outputs and the low power outputs as shown in “Fig: 3” The first level of hierarchy from the top down includes logic circuit design for propagating either the present or the next state of flip flop to the second level of hierarchy [4]. The second level of hierarchy is a multiplexer function that provides for selecting between the two states (present or next) to be propagated to the outputs as low power output. Minimal at best consisting of few logic gates. “Fig.3 Low Power Test Pattern LFSR” In the simulation environment the outputs of the flip-flops are loaded with the seed vector. The feedback taps are selected pertinent to the characteristic polynomial xg +x+1. Only 2 inputs pins namely test enable and clock are required to activate the generation of the pattern as well as simulation of the design circuit. It is also noteworthy here that the intermediate vectors in addition to aiding in reducing the number of transitions can also empirically assist in detecting faults just as good as the conventional lsfr pattern [1][5] description of the technique to produce low power pattern for bit the following is a description of a low power test pattern generation technique as depicted in the 9 –bit lsfr. The feedback taps are designed for maximal length lsfr generating all zeros and all one’s as well.
  • 4. Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits DOI: 10.9790/2834-10613642 www.iosrjournals.org 39 | Page 3.1 Algorithm For Low Power Lfsr The first step is to generate it, the first vector by enabling (clocking) the first 4 bits of the LFSR and disabling (not clocking) the last 4 bits .this shifts the first 4 bits to the right by one bit .the feedback bits of the lsfr are the outputs of the 8th and the first flip-flop.the output of the 8th flip-flop is 1 and the output of the first flip-flop is 0. The exclusive-or of the 8th flip-flop (logic 1 in this case) and the first flip-flop (logic 0 in this case) is input (1 exor 0 =1) into the first d flip flop the new pattern in the first four bits of the lsfr is 1010.note that the shaded register is clocked along with the first 4 bits of the lsfr. So the input of the shaded flip-flop is the output of the 4th flip-flop which in this case is 0. Also note that prior to the first clock, the input of the shaded register was the seed value of the 4 th at the output of the 4 th flip-flop which in this case is 0. So after the first clock this value of 0 will now appear at the output of the shaded flip-flop. In other words the value of the 4 th output is stored in this shaded register and is used in the next few steps. The first 4 shifted bits of the LFSR and the last 4 Th un-shifted bits (i.e. the seed value) are propagated as ti (1010 1011) to the final outputs. Next few steps involve generating the 3 intermediate patterns from it. These patterns are defined as Tk1, Tk2 and Tk3 shown in “Fig. 4.”below flow.Tk1 is generated by maintaining (disabling the clock to the first 4 bits)the first four bits of the LFSR outputs(as is from it) as the final first four low power outputs 1010.note that the clock to the last four bits of the I.fsr is also disabled. The last four bits however are the outputs from the injector circuits. The injector circuit compares the next value (the inputs of the D –flip-flop) with the current value (the output of the D flip-flop). According to Ti, the outputs(current values) of the last 4 bits of the LFSR are 1011. The next values are the values at the inputs of the D-flip-flops which in this case are 0101. Compare the current values (1011) bit by bit with the next values (0101). if the values bit by bit are not the same then use the random generator feedback R (in this case is logic 1)as the bit value as shown in the schematic above. If however both values bit by bit are the same then propagate that bit value to output as opposed to the R bit. This bit by bit comparison gives us the last four bits of Tk1 to be 1111.therfore Tk1=10101111.next step is to generate Tk2.shift the last 4 flip-flops to the right one bit but do not shift the first 4 flip –flops to the right. The clock to the first 4 bits the shaded flip-flop is disabled .the clock to the last 4 bits is enabled. Propagate the outputs of the flip-flops of the entire LFSR as opposed to the outputs of the injection circuits to the outputs (low power). The injection circuits are disabled .as in Tk1, maintain the first four LFSR outputs (1010) as the low power outputs. Again from Tk1, the inputs of the last four d flip-flops from the previous step (generating Tk1) are 0101.also note that the output of the shaded register is 0 from the previous step (generating Tk1).therefore the input of the 5 th flip-flop is a 0. The outputs of the last 4flip-flops are 0101resulting in Tk2=10100101. The 3rd intermediate vector Tk3 is generated via disabling the clock to the entire LFSR. Propagate the first 4 outputs from the injection circuit as the first 4 low power outputs and maintain the last 4low power outputs the same as Tk2. generating injection circuit outputs for Tk3 is conceptually the same as explained above in generating Tk1current values (the outputs of the flip-flops) of the first four flip-flops are compared with the next values (the inputs of the flip-flops) of the flip-flops therefore the logical feed forward value of r is 1. The feedback value from the first flip-flop is also 1as per the current values above. The exclusive or of two ones is a 0. Therefore the input to the first flip-flop is a 0 which is also the next state of the first flip-flop. Hence the next values are 0 for the first flip-flop and 101 for the 2nd 3 rd and4 rd flip-floprespectively.the next values are 0101.the first four outputs from the injection circuit are 1111. The last 4 outputs are the same as Tk2 which are 0101 resulting in the 3rd and final intermediate vector Tk3=1111 0101.generating Ti2 is quite similar to generating it. As in Tk3 the outputs of the last four LFSR flops are 0101.the outputs of the first 4 flip-flops of the LFSR are the current values which are 1010.therefore the seed vector for generating Ti2 is 1010 0101.shift the first four bits of the LFSR plus the shadedflip-flop.do not clock the last four flip-flops. Propagate the outputs of the entire LFSR to the final low power outputs. The output of the 8th flip-flop from the previous step (generating Tk3) is a 1 and the output of the first flip-flop from the previous step(generating Tk3) is also a 1 . The exclusive or of the output of the 8th flip-flop and the first flip-flop is 0 .therefore the input to the first flip-flop will be a 0. The inputs to the 2nd ,3 rd and 4th the shaded flip-flops are 1010.these are also the current values from the previous step (generating Tk3).shifting the first four flip-flops of the LFSR to the right by one bit results in 0101 as the outputs of the first four flip-flops. Therefore Ti2 generated is 0101 0101.
  • 5. Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits DOI: 10.9790/2834-10613642 www.iosrjournals.org 40 | Page Fig.4 C17 Bench Mark Circuit IV. Simulation Results The design is verified by performing behavioral simulation in “Fig.5”,”Fig.6” and “Fig.7” to do a high –level or behavioral simulator is used, which executes the design by interpreting the verilog code like any other programming language, i.e. regardless of the target architecture .at this stage, FPGA development is much like software development signals and variables may be watched procedures and functions may be traced and breakpoints may be set. The entire process is very fast, as the design is not synthesized, thus giving the developer a quick and complete understanding of the design. The downside of behavioral simulation is that specific properties of the target architecture namely timing and resource usage are not covered. “Fig.5 RTL Schematic of LT’LFSR.” “Fig.6 Simulation Report of LT-LFSR with Fault”
  • 6. Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits DOI: 10.9790/2834-10613642 www.iosrjournals.org 41 | Page “Fig.7 Simulation Report Of LT-LFSR Without Fault” V. Synthesis Result Synthesis is the process of translating verilog HDL to a net list ,which is built from a structure of micros, e.g. adders, multiplexers and shift registers chip synthesizers perform optimizations especially hierarchy flattening and optimization of combinational paths. Specific cores like RAMS or ROMS are treated as black boxes. Recent tool can duplicate registers, perform re-timing or optimize this result according to given constraints shown in “Fig. 9”, “Table 1” and “Table 2” “Fig.8 Synthesis Results For LT-LFSR.” Existing results Proposed result Logic Utilization Used(Available) Used(Available) Number of slice Flip-flops 251(3,840) 18(4,800) Number of 4 input LUTs 12(3,840) 16(2,400) Number of occupied slices 45(1,920) 9(600) Number of slices containing only related logic 45(21) 9(20) Number of slices containing unrelated logic 0(21) 7(20) Number of bounded IOBS 64(173) 14(102) Power consumption 14 mw 12.19 mw Fault coverage 100% 100% “Table I. Synthesis And Par Report”
  • 7. Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits DOI: 10.9790/2834-10613642 www.iosrjournals.org 42 | Page Circuit Frequency( MHZ) Total power( mw) Dynamic power(mw) Quiescent power(mw) C17 139 12.19 0.96 11.23 “Table Ii. Power Analysis Report” VI. Conclusion The proposed approach shows the concept of reducing the transitions in the test pattern generated; the transition is reduced by increasing the correlation between the successive bits. The simulation results shows that how the patterns are generated for the applied seed vector. This paper presents the implementation with regard to verilog language. Synthesizing and implementation (i.e. Translate, Map And Place And Route) of the code is carried out on Xilinx- project navigator, ISE12.li suite .the power reports shows that the proposed low power LFSR consumes less power (12.19mw) during testing by taking the benchmark circuit C17.in future there is a chance to reduce the power somewhat more by doing modifications in the proposed architecture. References [1] Afzali.A, Alisaface.M, Atoofian.E, Hatami.S and Navabi.Z,A New Low-Power Scan-Path Architecture,‟‟ IEEE International Symposium, Vol.5, pp.5278 - 5281, 23-26 May 2005. [2] Crouch.A, Design-for-Test for Digital IC's and Embedded Core Systems,‟‟ Prentice Hall, 1999. [3] M.Aubertin,Member,IEEE, J. M. Pierre Langlois, Member, IEEE, Munshin,Member,IEEE “A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications” IEEE transactions on very large scale integration (vlsi) systems, vol. 21, no. 5, may 2013 [4] Mehrdad Nourani, Mohammad Tehranipoor, Nisar Ahmed,„„Low- Transition LFSR for BIST-Based Applications,‟‟14th Asian Test Symposium, pp. 138- 143, 18-21 Dec. 2005.176 [5] M. ElShoukry, C. P. Ravikumar, and M. Tehranipoor, “Partial gating optimization for power reduction during test application,” in Proceedings of the 14th Asian Test Symposium (ATS '05), pp. 242– 245, ind, December 2005. [6] N. Basturkmen, S. Reddy and I. Pomeranz, “A Low power Pseudo-Random BIST Technique,” in Proc. Int. Conf. on Computer Design (ICCD‟02), pp. 468-473, 2002 [7] P. Girard, “Survey of low-power testing of VLSI circuits,” IEEE Design and Test of Computers, vol. 19, no. 3, pp. 80–90, 2002. [8] S.Wang, “Generation of Low Power Dissipation and High Fault Coverage Patterns for Scan-Based BIST,” in Proc. Int. Test Conf. (ITC‟02), pp. 834-843, 2002.