SlideShare a Scribd company logo
Tools and Methodologies for 3D-IC
Design

AJ Incorvaia
Vice President, Silicon Package Board Group
May, 2012
Where we are today – Industry View
    Paradigm Shift from 2D SoCs  3D stacks

                       Moving to vertical stacking using TSVs provides
                                 Reuse of older process node
                                 (IP reuse/ heterogeneous int.)
                                       Higher performance
                                           Low Power
                                         Reduced Cost




2    © 2012 Cadence Design Systems, Inc. All rights reserved.
Short, medium and long term path to 3D-IC
EDA work starts at least 3-4 years earlier




    Si Partitioning               Memory Cube                   Logic + memory       Wide IO + Logic         High
       with TSV                    with TSVs                      w/ 2.5D TSV          with TSVs         performance
      Interposer                                                                                          computing
                                                                  Interposer
                                • MARKET : Server                                   •MARKET : Mobile,
                                                                                      Tablet, gaming    • MARKET : CPU,
    • Market : FPGA                & Computing                  • MARKET : GPU,
                                                                                       processors           MCMs etc
                                                                  Gaming Console
    • Xilinx in 2010               • IBM & Micron
                                                                                     • ST-E /LETI         •ST-E /LETI
    •Altera in 2012                    testchip                  • ST testchip in                       WIOMING in 2011
                                                                       2010         WIOMING in 2011


    • 2011-2012                  • 2012-2013                    • 2013-2014         • 2013-2014           • ~ 2015

                   SHORT                                                     MEDIUM                         LONG

                                          Standards, Ecosystem, Cost
3    © 2012 Cadence Design Systems, Inc. All rights reserved.
So what changes with 3DIC in EDA world?
Revamped EDA requirements


                                                                New Layout Rules (e.g. alignments)

                                                               New Layout Layer (e.g. Back Side RDL)

                                                                New Layout & Electrical Feature
                                                                             (e.g. TSV)

                                                                      New Floorplanning &
                                                                      Blockage Rules (TSV)

                                                                     Thermal & mechanical
                                                                          constraints

                                                                       New Models, Rules
                                   Courtesy : Qualcomm



4   © 2012 Cadence Design Systems, Inc. All rights reserved.
3DIC Design Flow Challenges

                                                                       System Level Exploration
                                                                       3D Floorplan – Optimized power
New 3DIC Design Flow




                                                                           Plan and TSV/Bump locations

                                                                             Implementation
     Challenges




                                                                       Placement, Optimization and Routing

                                                                        Extraction and Analysis
                                                                         Manage Power, Thermal and SI

                                                                           DFT for 3DIC Stack
                                                                             & Diagnostics
                                                                       Silicon Package Co-Design


5           © 2012 Cadence Design Systems, Inc. All rights reserved.
                                   5
3D Stack Die Editor



Die to Die Co-Design Flow
    Open access enables interaction
    between analog and digital
                                                                                            3D Floorplan – Optimized power
                                                                                             Plan and TSV/Bump locations


                                                                 Custom Editing
    Typical 3D-IC Design Flow



                                                                                                     TSV /Bump RDL Routing


                                                                  3D IR Drop Analysis



                                                                                                     Silicon Interposer




                                                                IC-Package Co design flow            3D Thermal Maps
                                                               Back-side Bump Management



                                                                                             Silicon Interposer




6   © 2012 Cadence Design Systems, Inc. All rights reserved.
Partnering with the Ecosystem



                                                               Designers: Analysis Driven Design &
                                                                             Stacking Methodology

                                                                         System House: Multi-Die
                                                                    Integrated Package Prototyping

                                                                 Foundry & IDM : Rules, Stacking
                                                                                Layers & Modeling

                                                                  Everyone : DFM/Yield/Reliability
                                                                                 And Redundancy




7   © 2012 Cadence Design Systems, Inc. All rights reserved.
Collaboration with Foundry Partners




8   © 2012 Cadence Design Systems, Inc. All rights reserved.
Foundation required to enable 3D-IC


                                  Custom, Digital & Package solutions need to understand
                                                       3D constructs
                                 Modeling and database infrastructure to support TSVs, Micro bumps, backside
                                                                    metals




                                         Seamless Digital, Custom and Package co-design
                                    Comprehensive solutions needed to span all aspects of IC design, including
                                       digital design, analog and custom design and packaging co-design




                                                               Ecosystem partnerships
                                         Ecosystem is still developing, so partnerships are needed to develop
                                          methodologies and proof points between the various stakeholders




9   © 2012 Cadence Design Systems, Inc. All rights reserved.
Industry Example: 2.5D Using Silicon Interposer               Source: RTI 3D conference 2010 proceedings




 10   © 2012 Cadence Design Systems, Inc. All rights reserved.
10       © 2011 Cadence Design Systems, Inc. All Rights Reserved
Industry Example: 3D IC Stack with WideIO




11   © 2012 Cadence Design Systems, Inc. All rights reserved.
Industry Example: 3D IC Stack with WideIO




12   © 2012 Cadence Design Systems, Inc. All rights reserved.
Summary: Cadence silicon-proven 3D-IC solution
Plan Implement  Test  Verify


• Cadence is the technology leader
  providing complete and integrated 3D-
  IC solution
     – Plan->implement->test->verify
     – 1st to market wide I/O memory controller


• Developed in close partner-
  collaboration for 5+ years with leading
  foundries and customers

• Multiple 3D-IC tapeouts
     – Multiple testchip experience: Memory over
       logic (28 nm), logic over analog, logic over
       Logic, 3-stack dies
     – Production design tapeouts




13   © 2012 Cadence Design Systems, Inc. All rights reserved.
14   © 2012 Cadence Design Systems, Inc. All rights reserved.

More Related Content

What's hot

BimStorm Intro
BimStorm Intro BimStorm Intro
BimStorm Intro
KimonOnuma
 
Idc Reducing It Costs With Blades
Idc Reducing It Costs With BladesIdc Reducing It Costs With Blades
Idc Reducing It Costs With Bladespankaj009
 
Power Optimization Through Manycore Multiprocessing
Power Optimization Through Manycore MultiprocessingPower Optimization Through Manycore Multiprocessing
Power Optimization Through Manycore Multiprocessing
chiportal
 
Semantic Energy - Malcolm Murray
Semantic Energy - Malcolm MurraySemantic Energy - Malcolm Murray
Semantic Energy - Malcolm Murray
mocathe1st
 
2013 storage prediction hds hong kong
2013 storage prediction hds hong kong2013 storage prediction hds hong kong
2013 storage prediction hds hong kong
Andrew Wong
 
AutoDCR (Building Plan Approval system)
AutoDCR (Building Plan Approval system)AutoDCR (Building Plan Approval system)
AutoDCR (Building Plan Approval system)SoftTech Engineers
 
New technologies in Telco
New technologies in TelcoNew technologies in Telco
New technologies in TelcoSigortam.net
 

What's hot (8)

BimStorm Intro
BimStorm Intro BimStorm Intro
BimStorm Intro
 
Idc Reducing It Costs With Blades
Idc Reducing It Costs With BladesIdc Reducing It Costs With Blades
Idc Reducing It Costs With Blades
 
Power Optimization Through Manycore Multiprocessing
Power Optimization Through Manycore MultiprocessingPower Optimization Through Manycore Multiprocessing
Power Optimization Through Manycore Multiprocessing
 
Semantic Energy - Malcolm Murray
Semantic Energy - Malcolm MurraySemantic Energy - Malcolm Murray
Semantic Energy - Malcolm Murray
 
2013 storage prediction hds hong kong
2013 storage prediction hds hong kong2013 storage prediction hds hong kong
2013 storage prediction hds hong kong
 
AutoDCR (Building Plan Approval system)
AutoDCR (Building Plan Approval system)AutoDCR (Building Plan Approval system)
AutoDCR (Building Plan Approval system)
 
Mc50
Mc50Mc50
Mc50
 
New technologies in Telco
New technologies in TelcoNew technologies in Telco
New technologies in Telco
 

Similar to 3D-IC Designs require 3D tools

Qualcomm
QualcommQualcomm
Qualcomm
Satya Harish
 
Design & simulation capability
Design & simulation capabilityDesign & simulation capability
Design & simulation capabilityrogercooke
 
雲端與Big data
雲端與Big data雲端與Big data
雲端與Big data
Ya-hui Lin
 
High speed-pcb-board-design-and-analysis
High speed-pcb-board-design-and-analysis High speed-pcb-board-design-and-analysis
High speed-pcb-board-design-and-analysis
Pantech ProLabs India Pvt Ltd
 
SolidWorks Electrical 2013
SolidWorks Electrical 2013SolidWorks Electrical 2013
SolidWorks Electrical 2013
AKM FOODSTUFF TRADING LLC
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Network documentation for the digital age
 Network documentation for the digital age Network documentation for the digital age
Network documentation for the digital age
MASIT MACEDONIA
 
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
Ilango Jeyasubramanian
 
AI-Inspired IOT Chiplets and 3D Heterogeneous Integration
AI-Inspired IOT Chiplets and 3D Heterogeneous IntegrationAI-Inspired IOT Chiplets and 3D Heterogeneous Integration
AI-Inspired IOT Chiplets and 3D Heterogeneous Integration
Object Automation
 
Elveego circuits
Elveego circuitsElveego circuits
Elveego circuits
Uttamkumar Ningthoujam
 
Harness proD
Harness proDHarness proD
How to Create 3D Mashups by Integrating GIS, CAD, and BIM
How to Create 3D Mashups by Integrating GIS, CAD, and BIMHow to Create 3D Mashups by Integrating GIS, CAD, and BIM
How to Create 3D Mashups by Integrating GIS, CAD, and BIM
Safe Software
 
PLM - ERP integration
PLM - ERP integrationPLM - ERP integration
PLM - ERP integration
Henri Moufettal
 
MBE Summit 2012
MBE Summit 2012MBE Summit 2012
MBE Summit 2012
dopsahl
 
Shivamtech brochure
Shivamtech brochureShivamtech brochure
Offshore Engineering Brochure August2012
Offshore Engineering Brochure August2012Offshore Engineering Brochure August2012
Offshore Engineering Brochure August2012ggurudev
 

Similar to 3D-IC Designs require 3D tools (20)

Qualcomm
QualcommQualcomm
Qualcomm
 
Design & simulation capability
Design & simulation capabilityDesign & simulation capability
Design & simulation capability
 
45 135-1-pb
45 135-1-pb45 135-1-pb
45 135-1-pb
 
雲端與Big data
雲端與Big data雲端與Big data
雲端與Big data
 
High speed-pcb-board-design-and-analysis
High speed-pcb-board-design-and-analysis High speed-pcb-board-design-and-analysis
High speed-pcb-board-design-and-analysis
 
SolidWorks Electrical 2013
SolidWorks Electrical 2013SolidWorks Electrical 2013
SolidWorks Electrical 2013
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Circuits eda
Circuits edaCircuits eda
Circuits eda
 
Network documentation for the digital age
 Network documentation for the digital age Network documentation for the digital age
Network documentation for the digital age
 
Main (4)
Main (4)Main (4)
Main (4)
 
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
 
AI-Inspired IOT Chiplets and 3D Heterogeneous Integration
AI-Inspired IOT Chiplets and 3D Heterogeneous IntegrationAI-Inspired IOT Chiplets and 3D Heterogeneous Integration
AI-Inspired IOT Chiplets and 3D Heterogeneous Integration
 
Elveego circuits
Elveego circuitsElveego circuits
Elveego circuits
 
Harness proD
Harness proDHarness proD
Harness proD
 
How to Create 3D Mashups by Integrating GIS, CAD, and BIM
How to Create 3D Mashups by Integrating GIS, CAD, and BIMHow to Create 3D Mashups by Integrating GIS, CAD, and BIM
How to Create 3D Mashups by Integrating GIS, CAD, and BIM
 
PLM - ERP integration
PLM - ERP integrationPLM - ERP integration
PLM - ERP integration
 
Ironcad
IroncadIroncad
Ironcad
 
MBE Summit 2012
MBE Summit 2012MBE Summit 2012
MBE Summit 2012
 
Shivamtech brochure
Shivamtech brochureShivamtech brochure
Shivamtech brochure
 
Offshore Engineering Brochure August2012
Offshore Engineering Brochure August2012Offshore Engineering Brochure August2012
Offshore Engineering Brochure August2012
 

More from chiportal

Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China
chiportal
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
chiportal
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
chiportal
 
Prof. Uri Weiser,Technion
Prof. Uri Weiser,TechnionProf. Uri Weiser,Technion
Prof. Uri Weiser,Technion
chiportal
 
Ken Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, FaradayKen Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, Faraday
chiportal
 
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 Prof. Danny Raz, Director, Bell Labs Israel, Nokia  Prof. Danny Raz, Director, Bell Labs Israel, Nokia
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
chiportal
 
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, SynopsysMarco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
chiportal
 
Dr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzDr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazz
chiportal
 
Eddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, IntelEddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, Intel
chiportal
 
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 Dr. John Bainbridge, Principal Application Architect, NetSpeed  Dr. John Bainbridge, Principal Application Architect, NetSpeed
Dr. John Bainbridge, Principal Application Architect, NetSpeed
chiportal
 
Xavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, ArterisXavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, Arteris
chiportal
 
Asi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, VtoolAsi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, Vtool
chiportal
 
Zvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQZvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQ
chiportal
 
Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC
chiportal
 
Kunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-SiliconKunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-Silicon
chiportal
 
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, SynopsysGert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
chiportal
 
Tuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano RetinaTuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano Retina
chiportal
 
Sagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-SiliconSagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-Silicon
chiportal
 
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP SemiconductorRonen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
chiportal
 
Prof. Emanuel Cohen, Technion
Prof. Emanuel Cohen, TechnionProf. Emanuel Cohen, Technion
Prof. Emanuel Cohen, Technion
chiportal
 

More from chiportal (20)

Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
 
Prof. Uri Weiser,Technion
Prof. Uri Weiser,TechnionProf. Uri Weiser,Technion
Prof. Uri Weiser,Technion
 
Ken Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, FaradayKen Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, Faraday
 
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 Prof. Danny Raz, Director, Bell Labs Israel, Nokia  Prof. Danny Raz, Director, Bell Labs Israel, Nokia
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, SynopsysMarco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
 
Dr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzDr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazz
 
Eddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, IntelEddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, Intel
 
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 Dr. John Bainbridge, Principal Application Architect, NetSpeed  Dr. John Bainbridge, Principal Application Architect, NetSpeed
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 
Xavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, ArterisXavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, Arteris
 
Asi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, VtoolAsi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, Vtool
 
Zvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQZvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQ
 
Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC
 
Kunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-SiliconKunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-Silicon
 
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, SynopsysGert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
 
Tuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano RetinaTuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano Retina
 
Sagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-SiliconSagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-Silicon
 
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP SemiconductorRonen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
 
Prof. Emanuel Cohen, Technion
Prof. Emanuel Cohen, TechnionProf. Emanuel Cohen, Technion
Prof. Emanuel Cohen, Technion
 

Recently uploaded

20240605 QFM017 Machine Intelligence Reading List May 2024
20240605 QFM017 Machine Intelligence Reading List May 202420240605 QFM017 Machine Intelligence Reading List May 2024
20240605 QFM017 Machine Intelligence Reading List May 2024
Matthew Sinclair
 
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
Albert Hoitingh
 
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
Neo4j
 
20240607 QFM018 Elixir Reading List May 2024
20240607 QFM018 Elixir Reading List May 202420240607 QFM018 Elixir Reading List May 2024
20240607 QFM018 Elixir Reading List May 2024
Matthew Sinclair
 
Large Language Model (LLM) and it’s Geospatial Applications
Large Language Model (LLM) and it’s Geospatial ApplicationsLarge Language Model (LLM) and it’s Geospatial Applications
Large Language Model (LLM) and it’s Geospatial Applications
Rohit Gautam
 
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdfFIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance
 
Introduction to CHERI technology - Cybersecurity
Introduction to CHERI technology - CybersecurityIntroduction to CHERI technology - Cybersecurity
Introduction to CHERI technology - Cybersecurity
mikeeftimakis1
 
zkStudyClub - Reef: Fast Succinct Non-Interactive Zero-Knowledge Regex Proofs
zkStudyClub - Reef: Fast Succinct Non-Interactive Zero-Knowledge Regex ProofszkStudyClub - Reef: Fast Succinct Non-Interactive Zero-Knowledge Regex Proofs
zkStudyClub - Reef: Fast Succinct Non-Interactive Zero-Knowledge Regex Proofs
Alex Pruden
 
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
SOFTTECHHUB
 
GraphSummit Singapore | Graphing Success: Revolutionising Organisational Stru...
GraphSummit Singapore | Graphing Success: Revolutionising Organisational Stru...GraphSummit Singapore | Graphing Success: Revolutionising Organisational Stru...
GraphSummit Singapore | Graphing Success: Revolutionising Organisational Stru...
Neo4j
 
RESUME BUILDER APPLICATION Project for students
RESUME BUILDER APPLICATION Project for studentsRESUME BUILDER APPLICATION Project for students
RESUME BUILDER APPLICATION Project for students
KAMESHS29
 
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdfObservability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
Paige Cruz
 
Elizabeth Buie - Older adults: Are we really designing for our future selves?
Elizabeth Buie - Older adults: Are we really designing for our future selves?Elizabeth Buie - Older adults: Are we really designing for our future selves?
Elizabeth Buie - Older adults: Are we really designing for our future selves?
Nexer Digital
 
20240609 QFM020 Irresponsible AI Reading List May 2024
20240609 QFM020 Irresponsible AI Reading List May 202420240609 QFM020 Irresponsible AI Reading List May 2024
20240609 QFM020 Irresponsible AI Reading List May 2024
Matthew Sinclair
 
Mind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AIMind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AI
Kumud Singh
 
Enchancing adoption of Open Source Libraries. A case study on Albumentations.AI
Enchancing adoption of Open Source Libraries. A case study on Albumentations.AIEnchancing adoption of Open Source Libraries. A case study on Albumentations.AI
Enchancing adoption of Open Source Libraries. A case study on Albumentations.AI
Vladimir Iglovikov, Ph.D.
 
Essentials of Automations: The Art of Triggers and Actions in FME
Essentials of Automations: The Art of Triggers and Actions in FMEEssentials of Automations: The Art of Triggers and Actions in FME
Essentials of Automations: The Art of Triggers and Actions in FME
Safe Software
 
GraphSummit Singapore | Enhancing Changi Airport Group's Passenger Experience...
GraphSummit Singapore | Enhancing Changi Airport Group's Passenger Experience...GraphSummit Singapore | Enhancing Changi Airport Group's Passenger Experience...
GraphSummit Singapore | Enhancing Changi Airport Group's Passenger Experience...
Neo4j
 
Artificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopmentArtificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopment
Octavian Nadolu
 
DevOps and Testing slides at DASA Connect
DevOps and Testing slides at DASA ConnectDevOps and Testing slides at DASA Connect
DevOps and Testing slides at DASA Connect
Kari Kakkonen
 

Recently uploaded (20)

20240605 QFM017 Machine Intelligence Reading List May 2024
20240605 QFM017 Machine Intelligence Reading List May 202420240605 QFM017 Machine Intelligence Reading List May 2024
20240605 QFM017 Machine Intelligence Reading List May 2024
 
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
 
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
 
20240607 QFM018 Elixir Reading List May 2024
20240607 QFM018 Elixir Reading List May 202420240607 QFM018 Elixir Reading List May 2024
20240607 QFM018 Elixir Reading List May 2024
 
Large Language Model (LLM) and it’s Geospatial Applications
Large Language Model (LLM) and it’s Geospatial ApplicationsLarge Language Model (LLM) and it’s Geospatial Applications
Large Language Model (LLM) and it’s Geospatial Applications
 
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdfFIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
 
Introduction to CHERI technology - Cybersecurity
Introduction to CHERI technology - CybersecurityIntroduction to CHERI technology - Cybersecurity
Introduction to CHERI technology - Cybersecurity
 
zkStudyClub - Reef: Fast Succinct Non-Interactive Zero-Knowledge Regex Proofs
zkStudyClub - Reef: Fast Succinct Non-Interactive Zero-Knowledge Regex ProofszkStudyClub - Reef: Fast Succinct Non-Interactive Zero-Knowledge Regex Proofs
zkStudyClub - Reef: Fast Succinct Non-Interactive Zero-Knowledge Regex Proofs
 
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
 
GraphSummit Singapore | Graphing Success: Revolutionising Organisational Stru...
GraphSummit Singapore | Graphing Success: Revolutionising Organisational Stru...GraphSummit Singapore | Graphing Success: Revolutionising Organisational Stru...
GraphSummit Singapore | Graphing Success: Revolutionising Organisational Stru...
 
RESUME BUILDER APPLICATION Project for students
RESUME BUILDER APPLICATION Project for studentsRESUME BUILDER APPLICATION Project for students
RESUME BUILDER APPLICATION Project for students
 
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdfObservability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
 
Elizabeth Buie - Older adults: Are we really designing for our future selves?
Elizabeth Buie - Older adults: Are we really designing for our future selves?Elizabeth Buie - Older adults: Are we really designing for our future selves?
Elizabeth Buie - Older adults: Are we really designing for our future selves?
 
20240609 QFM020 Irresponsible AI Reading List May 2024
20240609 QFM020 Irresponsible AI Reading List May 202420240609 QFM020 Irresponsible AI Reading List May 2024
20240609 QFM020 Irresponsible AI Reading List May 2024
 
Mind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AIMind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AI
 
Enchancing adoption of Open Source Libraries. A case study on Albumentations.AI
Enchancing adoption of Open Source Libraries. A case study on Albumentations.AIEnchancing adoption of Open Source Libraries. A case study on Albumentations.AI
Enchancing adoption of Open Source Libraries. A case study on Albumentations.AI
 
Essentials of Automations: The Art of Triggers and Actions in FME
Essentials of Automations: The Art of Triggers and Actions in FMEEssentials of Automations: The Art of Triggers and Actions in FME
Essentials of Automations: The Art of Triggers and Actions in FME
 
GraphSummit Singapore | Enhancing Changi Airport Group's Passenger Experience...
GraphSummit Singapore | Enhancing Changi Airport Group's Passenger Experience...GraphSummit Singapore | Enhancing Changi Airport Group's Passenger Experience...
GraphSummit Singapore | Enhancing Changi Airport Group's Passenger Experience...
 
Artificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopmentArtificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopment
 
DevOps and Testing slides at DASA Connect
DevOps and Testing slides at DASA ConnectDevOps and Testing slides at DASA Connect
DevOps and Testing slides at DASA Connect
 

3D-IC Designs require 3D tools

  • 1. Tools and Methodologies for 3D-IC Design AJ Incorvaia Vice President, Silicon Package Board Group May, 2012
  • 2. Where we are today – Industry View Paradigm Shift from 2D SoCs  3D stacks Moving to vertical stacking using TSVs provides Reuse of older process node (IP reuse/ heterogeneous int.) Higher performance Low Power Reduced Cost 2 © 2012 Cadence Design Systems, Inc. All rights reserved.
  • 3. Short, medium and long term path to 3D-IC EDA work starts at least 3-4 years earlier Si Partitioning Memory Cube Logic + memory Wide IO + Logic High with TSV with TSVs w/ 2.5D TSV with TSVs performance Interposer computing Interposer • MARKET : Server •MARKET : Mobile, Tablet, gaming • MARKET : CPU, • Market : FPGA & Computing • MARKET : GPU, processors MCMs etc Gaming Console • Xilinx in 2010 • IBM & Micron • ST-E /LETI •ST-E /LETI •Altera in 2012 testchip • ST testchip in WIOMING in 2011 2010 WIOMING in 2011 • 2011-2012 • 2012-2013 • 2013-2014 • 2013-2014 • ~ 2015 SHORT MEDIUM LONG Standards, Ecosystem, Cost 3 © 2012 Cadence Design Systems, Inc. All rights reserved.
  • 4. So what changes with 3DIC in EDA world? Revamped EDA requirements New Layout Rules (e.g. alignments) New Layout Layer (e.g. Back Side RDL) New Layout & Electrical Feature (e.g. TSV) New Floorplanning & Blockage Rules (TSV) Thermal & mechanical constraints New Models, Rules Courtesy : Qualcomm 4 © 2012 Cadence Design Systems, Inc. All rights reserved.
  • 5. 3DIC Design Flow Challenges System Level Exploration 3D Floorplan – Optimized power New 3DIC Design Flow Plan and TSV/Bump locations Implementation Challenges Placement, Optimization and Routing Extraction and Analysis Manage Power, Thermal and SI DFT for 3DIC Stack & Diagnostics Silicon Package Co-Design 5 © 2012 Cadence Design Systems, Inc. All rights reserved. 5
  • 6. 3D Stack Die Editor Die to Die Co-Design Flow Open access enables interaction between analog and digital 3D Floorplan – Optimized power Plan and TSV/Bump locations Custom Editing Typical 3D-IC Design Flow TSV /Bump RDL Routing 3D IR Drop Analysis Silicon Interposer IC-Package Co design flow 3D Thermal Maps Back-side Bump Management Silicon Interposer 6 © 2012 Cadence Design Systems, Inc. All rights reserved.
  • 7. Partnering with the Ecosystem Designers: Analysis Driven Design & Stacking Methodology System House: Multi-Die Integrated Package Prototyping Foundry & IDM : Rules, Stacking Layers & Modeling Everyone : DFM/Yield/Reliability And Redundancy 7 © 2012 Cadence Design Systems, Inc. All rights reserved.
  • 8. Collaboration with Foundry Partners 8 © 2012 Cadence Design Systems, Inc. All rights reserved.
  • 9. Foundation required to enable 3D-IC Custom, Digital & Package solutions need to understand 3D constructs Modeling and database infrastructure to support TSVs, Micro bumps, backside metals Seamless Digital, Custom and Package co-design Comprehensive solutions needed to span all aspects of IC design, including digital design, analog and custom design and packaging co-design Ecosystem partnerships Ecosystem is still developing, so partnerships are needed to develop methodologies and proof points between the various stakeholders 9 © 2012 Cadence Design Systems, Inc. All rights reserved.
  • 10. Industry Example: 2.5D Using Silicon Interposer Source: RTI 3D conference 2010 proceedings 10 © 2012 Cadence Design Systems, Inc. All rights reserved. 10 © 2011 Cadence Design Systems, Inc. All Rights Reserved
  • 11. Industry Example: 3D IC Stack with WideIO 11 © 2012 Cadence Design Systems, Inc. All rights reserved.
  • 12. Industry Example: 3D IC Stack with WideIO 12 © 2012 Cadence Design Systems, Inc. All rights reserved.
  • 13. Summary: Cadence silicon-proven 3D-IC solution Plan Implement  Test  Verify • Cadence is the technology leader providing complete and integrated 3D- IC solution – Plan->implement->test->verify – 1st to market wide I/O memory controller • Developed in close partner- collaboration for 5+ years with leading foundries and customers • Multiple 3D-IC tapeouts – Multiple testchip experience: Memory over logic (28 nm), logic over analog, logic over Logic, 3-stack dies – Production design tapeouts 13 © 2012 Cadence Design Systems, Inc. All rights reserved.
  • 14. 14 © 2012 Cadence Design Systems, Inc. All rights reserved.

Editor's Notes

  1. It is infact a paradigm shift.. We are use to thinking 2D but the need for performance, power, and smaller footprints Are pushing us to utilize the 3rd dimension as well. Reasons….CMOS Scaling has reached its limit Physics will DEMAND that memory and analog functions DO NOT migrate to 22 nm together or even 16 and force our industry to combine heterogeneous die. Keep in mind that 3D will reduce the need to invest huge R&D efforts into developing "can do all" processes for SoCs with high-speed logic, precision analog, cost-effective large eDRAMs, and other features.Mobility Is Key From chipset to systems : As an example, let’s take a look at the mobile device industry. Clearly the convergence of mobility, communications and computing is the key trend driving the industry.This is what our consumer ask for…Right !! Thin gadgets, Lots of features, Their toy to run fast and at the same time be affordable “to them” : Small, Simple, Blazing Fast & Cheap all at the same time.Time to market is key : it is costly to build “can do all processes” and more and more IP reuse trends are becoming obvious. Trend is reusing what can be used with minimal add ons to tailor it to a different application, different marker – 80-20 rule applies…3D SoC integration of heterogeneous technologies is what is driving 3D ICs