SlideShare a Scribd company logo
1 of 81
Download to read offline
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 1 of 81
Circuit Design using FinFETs
Bing Sheu, Ph.D. & IEEE Fellow
Director, at R&D
TSMC
email: bing_sheu@tsmc.com
February 17, 2013
ISSCC 2013 Tutorial
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 2 of 81
Special thanks to the following people for contribution of content:
Chih-Sheng Chang, Clement Wann; Ken Wang; L.J. Tyan;
Kuo-Ji Chen, Ming-Hsiang Song; Tommy Chen, H.J. Liao;
Yung-Chow Peng; and Chris Huang;
from several groups inside TSMC R&D:
Advanced Technology Research;
Design Flow; Layout; Library & IPs, I/O & ESD;
Memory Design; High-Speed Circuits;
and especially to CTO/VP Jack Sun & DTP VP Cliff Hou.
SPICE BSIM-CMG Modeling slides are provided by Chair-
Professor Chenming Hu at University of California, Berkeley.
Assistance from Ali Sheikholeslami at University of Toronto for
ISSCC Tutorial Program, and from Stephen Kosonocky of AMD and
Victor Zyuban of IBM at Energy-Efficient Digital Track is highly
appreciated.
Acknowledgment
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 3 of 81
Outline
 1. Technology Considerations
 2. Spice Modeling
 3. Design Methodology and CAD Tools
 4. Digital Design
 Standard Cells, Layout
 IO and ESD
 5. SRAM
 6. Analog & Mixed-Signal, RF
 7. Conclusion
 References
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 4 of 81
Key FinFET Benefits: Electrostatics
Modified (re-wording) from Ref: J. Kavalieros, Technology Short Course,
VLSI Symposium, 2008
WFin: fin width
HFin: fin height
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 5 of 81
Multi-Gate Simulation Structures
 SOI substrate was used for this simulation study
BOX: buried oxide
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 6 of 81
FinFET Electrostatics Simulation -1
 With more gate control, a wider fin width could be used to
achieve same DIBL (drain-induced barrier lowering)
0 10 20 30 40 50
0
10
20
30
40
50
Quad-gate
Tri-gate
Double-gate
Single-gate
Lg=25nm
Contour@DIBL=100mV/V
H
Fin
(nm)
WFin
(nm)
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 7 of 81
FinFET Electrostatics Simulation -2
 Traditional channel doping could also be used to further
improve DIBL
0 10 20 30 40 50
0
10
20
30
40
50
Lg=25nm
Contour@DIBL=100mV/V
H
Fin
(nm)
WFin
(nm)
Na=3e18 cm-3
Na=1e17cm-3
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 8 of 81
22/20nm FinFET Device
 High performance 22/20nm FinFET with
 Ion (n/p)= 1200/1100 uA/um at Vdd=1V, Ioff=100nA/um
 DIBL (n/p)=100/120 mV/V
 Sub-threshold swing ~ 80mV/dec
Ref: C. C. Wu, paper 27.1, IEEE IEDM, 2010
-1.0 -0.8 -0.6 -0.4 -0.2 0.0 0.2 0.4 0.6 0.8 1.0
10
-9
10
-8
10
-7
10
-6
10
-5
10
-4
10
-3
10
-2
Drain
Current
(A/
μ
m)
Gate Voltage (V)
NFET
PFET
Vd = 1V
Vd = 0.05V
-1400-1200-1000 -800 -600 600 800 1000 1200 1400
10
0
10
1
10
2
10
3
Ioff
(nA/
μ
m)
Ion (μA/μm)
NFET
PFET
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 9 of 81
0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4
10
-3
10
-2
10
-1
10
0
10
1
10
2
N-FinFET
Low VT
Medium VT
High VT
Normalized
I
OFF
Normalized ION
0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4
10
-3
10
-2
10
-1
10
0
10
1
10
2
P-FinFET
Low VT
Medium VT
High VT
Normalized
I
OFF
Normalized ION
32/28nm SoC FinFET
Vdd=1V Vdd=1V
 32/28nm SoC FinFET technology with
 Low, medium and high Vt transistors follow the same trend line
 Multi-Vt techniques do not compromise FinFET performance
Ref: C.-C. Yeh, paper 34.1, IEEE IEDM, 2010
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 10 of 81
FinFET Parasitic Fringing Capacitance
Extra fringing cap. source
D
S
S
STI
STI
D
Gate
D
D
D
S
S
STI
STI
D
D
D
D
D
D
D
Wfin
Hfin
S/D junction
A
B
C
B
C
FinFET
D
D
Gate
D
D
D
D
D
D
D
Wfin
Hfin
S
A B
B
S/D junction STI
STI
 FinFET parasitic fringing capacitance could be higher than that of planar
MOSFET due to extra gate-to-S/D coupling area (C regions)
 The extra coupling (area of C) could be greatly reduced with tight fin pitch
Planar
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 11 of 81
Effect of Pitch on Fringing Cap.
 TCAD simulation showed
that with an aggressive
fin pitch scaling, the 3D
FinFET fringing
capacitance penalty
could be greatly
reduced.
40 50 60 70 80
0.24
0.28
0.32
0.36
0.40
Planar ref.
FinFET
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 12 of 81
Pitch Scaling with Spacer Patterning
 32/28nm tool used to demonstrated 50nm fin pitch by spacer
pitch halving technique.
50nm
50nm fin pitch SRAM bit cell
25nm Lg
FinFET
Ref: C.-Y. Chang, paper 12.2, IEEE IEDM, 2009
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 13 of 81
( )
L
V
V
V
t
W
v
Q
W
I DD
t
DD
ox
eff
inv
eff
D μ
ε
⋅
−
⋅
≈
=
HKMG
Conventional Scaling
FinFET
Strain Si
Ge / III-V
Equivalent Scaling
 More current in the same layout footprint
 Weff > fin_pitch
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 14 of 81
More Current per Footprint
 Increase effective width for a given footprint: increase HFin
and/or reduce fin pitch
Gate
STI Fin
Gate
STI Fin
Gate
STI Fin
Pitch
Gate
STI
Gate
STI
Gate
STI
OD
Effective width
FinFET 3 * (2*HFin+WFin)
Planar WOD
 FinFET: Cross-section view on Y-Z plane
Active Region (AR)
AR AR AR
 Planar: Cross-section view on width direction
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 15 of 81
FinFET Random Doping Fluctuation
 With the same doping, simulation showed that FinFET
structure can reduce RDF by ~10%
 With a lower doping, the RDF can be further reduced
Ref: K. J. Kuhn, paper 18.2,
IEEE IEDM, 2007
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 16 of 81
Outline
 1. Technology Considerations
 2. Spice Modeling
 3. Design Methodology and CAD Tools
 4. Digital Design
 Standard Cells, Layout
 IO and ESD
 5. SRAM
 6. Analog & Mixed-Signal, RF
 7. Conclusion
 References
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 17 of 81
Berkeley Short-channel IGFET Model
 1997: BSIM3 became first industry standard MOSFET
model for IC simulation
 BSIM3, BSIM4, BSIM-SOI used by hundreds of companies
for design of ICs worth half-trillion U.S. dollars
 BSIM models of FinFET and UTBSOI are available & free
BSIM SPICE Models
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 18 of 81
 Core Model
 Surface Potential Equation
 Drain Current
 Capacitance Model
 Real Device Effects
 Symmetry / Continuity Tests
 Model Availability: A versatile model for double-gate, triple-
gate, even cylindrical gate FET. Passed Industry FinFET
Standard balloting in Jan. 2012. Available now.
 BSIM-IMG is a related model for Ultra-Thin-Body SOI
technology (used by ST Microelectronics). Available now.
BSIM-CMG
This slide is provided by UC Berkeley Prof. Chenming Hu
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 19 of 81
Common-Multi-Gate Modeling
 Common Multi-gate (BSIM-CMG):
 All gates tied together
 Surface-potential-based core I-V and C-V model
 Supports double-gate, triple-gate, quadruple-gate,
cylindrical-gate; Bulk and SOI substrates
This slide is provided by UC Berkeley Prof. Chenming Hu
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 20 of 81
NA
NA
Surface Potential Core
 Surface potential is obtained from
solution of Poisson’s equation & Gauss’
Law.
 Poisson’s equation inside the body can
be written as (Vch is channel potential)
 Body doping complicates the solution of the Poisson’s equation.
 Perturbation approach is used to solve this problem.
Ref. M. Dunga et al., IEEE TED, No. 9, 2006; M. Dunga, et al., VLSI 2007;
Mohan Dunga, PhD Dissertation, UC Berkeley. Slide provided by Prof. C. Hu
  
Net Surface Potential Inversion Carriers only Perturbation due to finite doping
inv pert
ψ ψ ψ
= +
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 21 of 81
Surface Potential Calculation
0.0 0.4 0.8 1.2
-0.4
0.0
0.4
0.8
Symbols : TCAD
Lines : Model
Surface
Potential
(V)
Gate Voltage (V)
Na = 1x10
15
Na = 1x10
18
Na = 3x10
18
Na = 5x10
18
This slide is provided by UC Berkeley Prof. Chenming Hu
 Model matches 2D TCAD very well without fitting parameters for
different body doping concentrations
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 22 of 81
Core Drain Current Model
 Drain Current (Pao-Sah, No charge sheet approximation)
0.0 0.2 0.4 0.6 0.8 1.0
-2
-1
0
1
2
Vds=1.0V
Ids
Error
Relative
to
TCAD
(%)
Gate Voltage (V)
v104.0 and prior
v105.0
0.0 0.2 0.4 0.6 0.8 1.0
-2
-1
0
1
2
Vds=1.0V
Gm
Error
Relative
to
TCAD
(%)
Gate Voltage (V)
v104.0 and prior
v105.0
0.0 0.5 1.0 1.5
0.0
0.4
0.8
1.2
1.6
2D TCAD
I-V Model
Vg = 0.9V
Vg = 1.2V
Vg = 1.5V
Tsi = 20nm
Tox = 2nm
Na = 3e18cm
-3
Drain
Current
(mA)
Drain Voltage (V)
This slide is provided by UC Berkeley Prof. Chenming Hu
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 23 of 81
Drain Current in Volume Inversion
Ref. M. Dunga,
VLSI Symposium,
2007
Lines: Model
Symbols: TCAD
0.00 0.25 0.50 0.75
10f
10p
10n
10µ Vds = 0.2V
Drain
Current
(A)
Gate Voltage (V)
Na = 1e15 cm
-3
Tsi = 5nm
Tsi = 10nm
Tsi = 20nm
This slide is provided by UC Berkeley Prof. Chenming Hu
 The proportionality of inversion carrier density and hence current
to the body thickness in sub-threshold region for un-doped/lightly-
doped channel is captured.
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 24 of 81
Core Capacitance Model
 Model inherently exhibits symmetry
 Cij = Cji @ Vds= 0 V
 Model overlies TCAD results
 No tuning parameters used
 Accurate short channel behavior – RF Design
Symbols: TCAD Results; Lines: Model Slide provided by UCB Prof. C. Hu
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 25 of 81
Symmetry / Continuity Tests
 Model passes both DC and AC
Symmetry Tests
Drain Current
Capacitances (Cgg and Csd)
Slide is provided by UCB Prof. C. Hu
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 26 of 81
PMOS FinFET 35nm to 10um
This slide is provided by UC Berkeley Prof. Chenming Hu
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 27 of 81
NMOS FinFET 30nm to 10um
This slide is provided by UC Berkeley Prof. Chenming Hu
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 28 of 81
This slide is provided by UC Berkeley Prof. Chenming Hu
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 29 of 81
This slide is provided by UC Berkeley Prof. Chenming Hu
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 30 of 81
Outline
 1. Technology Considerations
 2. Spice Modeling
 3. Design Methodology and CAD Tools
 4. Digital Design
 Standard Cells, Layout
 IO and ESD
 5. SRAM
 6. Analog & Mixed-Signal, RF
 7. Conclusion
 References
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 31 of 81
FinFET Design Issues
 Width Quantization
 Significantly better layout density for high fin height process [1]
 High driving strength cell has larger area reduction [1]
 Four QOR indexes to evaluate quantization [2]
 Lg and Vth biasing replacement
 Adjust Vth through Gate work function engineering [3]
 Adjust Leff through gate-drain/source overlap engineering [4]
 Implementing weak feedback devices in latches and keepers
 Need to use S/D underlap to weaken feedback devices [4]
 Quantization effect on Leak estimation
 Worse leaking fin dominant leakage distribution [5]
 Reduced variation by larger Weff in the same footprint [6]
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 32 of 81
FinFET Parasitic Modeling
 Parasitic Capacitance
 Raised S/D reduce Rpara but increase Cpara [7]
 Outer fringe cap in FinFET is larger compared to planar
counterparts [7]
 Parasitic capacitance modeling [8]
 Parasitic Resistance
 Larger gate resistance [9]
 S/D parasitic resistance modeling [10]
 Rpara reduces Ion by ~5% [11]
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 33 of 81
Challenges in Parasitic Extraction
 Complex 3D transistor structure
 More R and C components
 3D RC extraction for critical circuits but needs run-time
improvement
 2.5D RC extraction enhancement for accuracy is required
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 34 of 81
Source of Variations in FinFETs
 Variation in threshold voltage
 Fin Thickness and Fin Height [12, 16]
 LER and WER [12–14]
 LER is major variation source in lightly doped channel [13,14]
 Higher trap density for HKMG (1019/eVcm3 for HfO2) [15]
 Variation in mobility & Work function variation (WFV)
 Surface roughness scattering [16]
 Found WFV of 16meV in FinFET with Lg = 23nm [17]
 WFV dominant TiN metal gate FinFET Vth variation [18–20]
 WFV due to Grain-Orientation-induced Quantum Confinement
[21]
 Variation on ID
 Rpara variation correlates closely with Tfin variation [22]
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 35 of 81
EDA Ecosystem Assessment -1
EDA Tool
Tech
File/model/PDK
Comment
Spice
Simulation
☆☆☆☆☆ ☆☆☆☆☆
* Need accurate BSIM-CMG model
* To drive SPICE simulators to support the model
in Q2
MEOL
Model +
RC
Extraction
2.5D ☆☆☆☆☆ ☆☆☆☆☆
* To define MEOL targets and corners
* Need accurate FinFET MEOL handing
3D ☆☆☆☆☆ ☆☆☆☆☆
* Need to clarify device cap partition
* Tools need to handle R in addition to C
DRC ☆☆☆☆☆ ☆☆☆☆☆
* DRC commands to handle fin quantization
and FinFET related rules
LVS/LPE ☆☆☆☆☆ ☆☆☆☆☆
* Need to consider FinFET specific
parameters and LDE spec
★★★ means quite good.
Note: Content To be filled by Attendees.
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 36 of 81
EDA Ecosystem Assessment -2
★
☆
★★★ means quite good.
EDA Tool
Tech
File/model/PDK
Comment
RTL Synthesis ☆☆☆☆☆ -- * No change perceived
Floorplan/Placeme
nt
☆☆☆☆☆ --
* Need to drive CAD tool to honor FinFET
region or FinFET pitch rule
Routing ☆☆☆☆☆ ☆☆☆☆☆
* FinFET std cell pin access
*16 /14 nm DPT rules
Static Timing
Analysis
☆☆☆☆☆ --
* Need to validate CAD tool accuracy of
timing model and pin cap model
Custom Design
Tool/PDK
☆☆☆☆☆ ☆☆☆☆☆
* Custom tools need to consider FinFET
quantized rule and connectivity
* PDK needs correct-by-construction Pcells
and FinFET specific MOS analyzer and
LDE utility
Note: Content To be filled by Attendees
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 37 of 81
Design Methodology Assessment
Readiness Challenges
General ☆☆☆☆☆
* Quantization optimization for PPA (IP sizing, clock tree
balancing, etc)
DFM ☆☆☆☆☆
* Modeling for random yield(CAA in 3D structure), dummies,
LPC (fin width/space, OD/PO rounding, etc), CMP (3D structure)
Low Power ☆☆☆☆☆
Effective low-power design approaches:
* Optimal operating voltages for best power/area
* Power gating by header/footer design
* Multi-Vt and gate bias approach (?)
Reliability ☆☆☆☆☆ * Electro-migration (EM), self heating
Variation ☆☆☆☆☆ * Geometry variation vs random doping
★★★ means quite good.
Note: Content To be filled by Attendees.
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 38 of 81
FinFET vs. Planar: Pros & Cons -1
Pros Cons Potential
Solutions
Std.
Cells
a. High drive current
b. Low subthreshold
leakage current
c. Better performance
on low-V operation
1. Quantized OD has less
flexibility on rise/fall
balance and sizing
optimization
2. On grid fin constraints
layout routing capability
3. Fin structure causes
worse heat dispatch
1. Enlarge cell
size to
improve cell
balance
I/O &
ESD
a. High drive current
per footprint,
enhancing I/O area
density
1. Uni-gate direction causes
2-set IO (V & H) effort
2. Difficult for ≧2.5V IO
3. Degraded diode ESD
4. Low ESD self-protection
2. Cascade
P/NFET or
use VMOS
3. Large size or
novel circuit
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 39 of 81
FinFET vs. Planar: Pros & Cons -2
Pros Cons Potential
Solutions
SRAM
a. Higher effective cell
current for speed
b. Less Vt mismatch
c. Better Ion/Ioff ratio
for longer BL length
1. Quantized device
width limits the
Vccmin
optimization
window
1. Enlarge bit cell, or
use design assist
Analog
a. Better gain and
matching
b. Better headroom
1. Metal/Via EM-
tolerance
degradation
2. More sensitive
to density control
1. With constrained
layout style, or
time interleaving
design to reduce
Metal conductance
2. Density control
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 40 of 81
Outline
 1. Technology Considerations
 2. Spice Modeling
 3. Design Methodology and CAD Tools
 4. Digital Design
 Standard Cells, Layout
 IO and ESD
 5. SRAM
 6. Analog & Mixed-Signal, RF
 7. Conclusion
 References
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 41 of 81
FinFET Cross-Section
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 42 of 81
Layout Examples
Planar FinFET
OD Fin
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 43 of 81
Quantization Impact on Std Cells
 Fin quantization performance impact is 0.7% in average for
200 standard cells
 Worst cell speed degradation is 5.9%, due to lack of single
Fin device
 With single Fin, degradation can be reduced to 2.6%
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 44 of 81
I/O & ESD for Planar CMOS -1
 Diode protect and self-
protect ESD network
scheme available
 Gated diodes for general
purpose ESD protection
to achieve
 High ESD/um2 & high
cross domain CDM level
D1
D2
vddcore1
vss1
Cross domain buffer
D1
D2
RES
vddcore2
vss1
D1
D2
vddio1
vssio1
CML/VML
buffer
Power
clamp
vddio2
vssio2
ESD-rule(for NMOS
snapback)
Power
clamp
D
1
D
2
Res
Input tolerant
pads
regular driver
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 45 of 81
I/O & ESD for Planar CMOS -2
 STI diodes for specific ESD protection including
 High ESD/fF ( for low-cap/ ultra high speed) & high Vbd (for
>1.8V interface protection)
 Power clamps (RC-trigger ESD to cover 0.85/1.8/2.5/3.3)
 Snapback NMOS available for input tolerant ESD protection
GP-I/O
<300MHz
HS-I/O
300M~1G
Very HS-I/O
1G~10G
Ultra HS-I/O
>10G
Interface
speed
0.9V
1.2V
1.5V
1.8V
2.5V
3.3V
Vcc
voltage
Gated
diode
STI
diode
STI
diode
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 46 of 81
High Voltage I/O in Planar CMOS -1
 Thick oxide devices optimized
for low voltage interface
circuit (1.8V and below)
 For >2.5V I/O, design with
1.8V transistor cascode
approach or HVMOS approach
bias1
3.3V 3.3V
GND
bias2
1.8V
I/O pad
3.3V interface circuit using 1.8V
transistor cascode approach
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 47 of 81
High Voltage I/O in Planar CMOS -2
bias
3.3V
3.3V
GND
1.8V
I/O pad
3.3V interface circuit using drain-extend HVMOS approach
HVNMOS
HVPMOS
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 48 of 81
FinFET I/O & ESD Design Challenge
 I/O design and implementation
 Cascode approach only for high voltage I/O design, no drain
extend HVMOS available in FinFET
 No native/low-Vt IO device for low-Vccmin I/O level shifter and
low Vt-drop pass gate for input high voltage tolerant circuit
 For uni-IO gate direction required for FinFET process, will need
2 sets of I/O for ring type placement
 ESD protection
 Snapback-type ESD protection not available
 Diode efficiency degradation due to high ESD current density
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 49 of 81
Gated Diode TCAD ESD Simulation
 Expect ESD level for FinFET to degrade by 20~40% due to
increased current density & joule heating
 Need to implement ESD devices with bigger size to meet
ESD spec.
Joule Heat
Main heating
source
Planar Fin
Current density
Planar Fin
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 50 of 81
ESD Network for FinFET I/O
 All FinFET devices protect by diodes and active clamp
 No snapback ESD in FinFET I/O
D1
D2
vddio1
vssio1
CML/VML buffer
Power
clamp
vddio2
vssio2
ESD-RDR (for
NMOS snapback)
Power
clamp
D1
D2
Res
Input tolerant
pads
D1
D2
vddcore1
vss1
Cross domain buffer
D1
D2
RES
vddcore2
vss1
CDM protection
No Snapback
ESD in FinFET
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 51 of 81
FinFET ESD Devices
Clamp type
Potential FinFET
solution
Applications
Diode
Gated diode
CMOS push-pull GPIO
Core/IO CML diver
Cross domain CDM
STI diode
Low-C for Gbps serdes/RF
High voltage (>2.5V)
none-snapback MOS-
driver
Resistor protected
P/NMOS
GPIO
CML driver
Power clamp
(core voltage)
RC trigger with Fin-
core large transistor
General purpose core voltage
clamp
Power clamp
(IO voltage)
RC trigger with Fin-
IO large transistor
General purpose IO voltage clamp
Power clamp
(High voltage, >2.5V)
RC trigger with Fin-
cascode large
transistor
High voltage interface
PMU
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 52 of 81
Outline
 1. Technology Considerations
 2. Spice Modeling
 3. Design Methodology and CAD Tools
 4. Digital Design
 Standard Cells, Layout
 IO and ESD
 5. SRAM
 6. Analog & Mixed-Signal, RF
 7. Conclusion
 References
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 53 of 81
Challenges in Scaling CMOS SRAM
 The most challenge is SCE (Short Channel Effect) control
 Requires heavy channel doping (>1018 cm-3) and heavy super-
halo implants to control surface leakage
 Side effects of the heavy doping
 Carrier mobility is severely degraded due to impurities
scattering
 High transverse electric field in the device “ON” state
 The increased depletion charge density results in a larger
depletion capacitance hence a larger sub-threshold slope.
 Off-state leakage current increase due to band-to-band
tunneling between the body and drain.
 Vt variation caused by Random Dopant Fluctuation (RDF) is
another concern for planar CMOS
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 54 of 81
FinFET Benefits for SRAM Design
 SCE can be effectively suppressed by using thin-body
transistor structure like FinFET: less gate length variability
 The Lg can scale down to 10nm region without using heavy
channel/body doping
 Lightly-doped channel gives rise to
 Lower transverse electrical field in the “ON” state
 Reduced impurity scattering
 Higher carrier mobility (2X higher)
 Reduced depletion charge & capacitance lead to a steep sub-
threshold slope
 Both depletion and junction capacitances are effectively
eliminated, which reduce the BL capacitive load.
 Reduce random dopant fluctuation effects
 Strain benefit will be greater (Ref: ITRS, 2007)
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 55 of 81
Variation point of View on SRAM
 Less RDF (Random Dopant Fluctuation)
 More Sensitive to geometry variation
 Fin height, width, edge roughness
 PO patterning variation since topology is rough
 Implies more RDR rules for CD control
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 56 of 81
Fin Edge Effect on Variation -1
Edge fin seems wider
Fin width =10nm
Fin pitch = 40nm
Fin height = 30nm
Novel SIT process improve
fin uniformity
Ref: T. Yamashita, et. al , (IBM) “Sub-25nm FinFET with Advanced Fin
Formation and Short Channel Effect Engineering”, VLSI Symp., 2011
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 57 of 81
Fin Edge Effect on Variation -2
Novel SIT process improve the fin uniformity
(a)
(b)
Mandrel definition
(c)
(d)
(e)
DIBL improved
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 58 of 81
Fin Height Variation -1
 Global variation > local variation for FinFET (Seems good
news for SRAM designer)
 Fin Height Variation (FHV) contribute a major portion of
global variation
global variation > local variation
Fin Height Variation dominates
global variation
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 59 of 81
Fin Height Variation -2
Ref: P. Dobrovollny, et al., “Impact of fin height variations on SRAM yield”,
IMEC
BFF: Bulk
FinFET
(38.22 – 11.32)1/2 = 36.5
SOIFF: SOI
FinFET
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 60 of 81
SNM and WTP(WM) for FHV -1
 FHV dominates the overall inter-die (global) variation
 At the product level intra-die (local) variation limits the max.
size of SRAM capacity, due to the VCCmin target.
Ref: P. Dobrovollny, et al., “Impact of fin height variations on SRAM yield”, IMEC
Local variation
Global
variation
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 61 of 81
SNM and WTP(WM) for FHV -2
FHV dominates the global variation
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 62 of 81
SNM and WTP(WM) for FHV -3
FHV contributes the same weighting as local variation
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 63 of 81
Challenges on SRAM Cell Design
 Quantization fin
 For minimum area: α- and β-ratio = 1, a naturally read-
preferred bit cell cause VCCmin issue
 For low VCCmin cell: enlarge β-ratio = 2, cost area penalty
High Density (HDC):
0.092 um2
1:1:1 PU:PG:PD
Low Voltage (LVC):
0.108 um2
1:1:2 PU:PG:PD
Ref: paper 13.1 (Intel), ISSCC, 2012
PU=1
PU=1 PD=1
PD=1
PG=1
PG=1
PU=1
PU=1 PG=1
PG=1
PD=1
PD=2
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 64 of 81
Surface Orientation for Vccmin -1
 Electron mobility along (100) plane is higher than along (110)
 PD device rotate to (100) to increase PD strength and also beta
ratio
 Side effect is lithography challenge and may result in increased
process variation
PU PD
PG
Up sizing PD can greater
improve read SNM
PD PD
PU
PG
beta ratio = 2
beta ratio = 1
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 65 of 81
Surface Orientation for Vccmin -2
PD
(100) PU
PG
(110)
(110)
Wafer orientation
Beta ratio >1
Strength PD > PG
Ref: Zheng Guo, et al., “FinFET-based SRAM design”, ISPED, 2005
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 66 of 81
Write-Assist : VDD Collapse
 Column-based Vcc,cell bias
technique
Ref: VDD collapse,
(Intel) IEDM, 2011
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 67 of 81
Write-Assist : Negative BL
 Write driver with boosted control and attenuation
Ref: “Negative bit-line”, (IBM), ISSCC, 2011
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 68 of 81
Example of Back-Gate SRAM Design
 Use back-gate control to improve the read-SNM
Weaker
PG for
read
Stronger
PG for
write
Ref: Zheng Guo, et al. (UC Berkeley), “FinFET-based SRAM design”, ISPED,
2005.
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 69 of 81
Outline
 1. Technology Considerations
 2. Spice Modeling
 3. Design Methodology and CAD Tools
 4. Digital Design
 Standard Cells, Layout
 IO and ESD
 5. SRAM
 6. Analog & Mixed-Signal, RF
 7. Conclusion
 References
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 70 of 81
Key Device Requirements
 Headroom to support cascode structure
 Vt < 0.2* Vdd
 Core device Ft to support 60GHz RF applications
 Ft > 250Ghz
 Low gate resistance to minimize flicker noise
 Sheet resistance < 50 Ohm
 Mismatch of IO device
 IAVtgm < 5mv-um
 Gain > 200 (or 46dB)
 Noise @ Core Lmin
 < 30 uV^2 um^2/Hz @ 1Hz
 Metal/Via EM handling capability close to device driving
capability for driver applications
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 71 of 81
Pros & Cons on Analog/RF Circuits
Pros:
 Better matching
 Higher current driving capability
 Lower gds  higher gain
 Smaller Vt  larger headroom
 Effectively no body-effect
 Lower leakage
Cons:
Impact high frequency application (Ft)
Higher S/D resistance degrade gm
Higher Cco
Device EM handling capability
Passive components (diode, resistor) availability
Loop shape layout not allowed
Behavior not as usual
Self-Heating causes higher local temperature and degrades
EM performance
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 72 of 81
Assessed EM Limitation on FinFET
 Via EM limits the maximum allowable power delivery from
single FinFET transistor
 Degradation for each new generation:
 Via EM capability drop ~0.7X
 Device driving capability increase ~ 1.25X
 Possible solutions
 Shorter wire length
 Lower local self-heating
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 73 of 81
Fin/PO/OD Local Density Impact
 With HKMG, device uniformity shows higher variation in
traditional layout style
 MG (metal gate) Hi-Resistor array with center effect
 Affected by STI dishing due to low OD density
 MOS array with edge effect
 Edge devices of MOS array with higher variation due to
poly gradient between array and surrounding patterns
 Interference among analog blocks due to each with different
and wide spreading density on poly and OD
 Fin density is likely new factor to impact device uniformity
 New layout style and flow needed to minimize this effect
 Gradient control on density from array to surrounding patterns
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 74 of 81
Design Flow Impact -1
 Quantized Fin
 Limit the flexibility of IP porting
 Due to discrete width
 Fin on track limits the flexibility on analog block floor plan
 Need CAD tool to optimize the quantized width and off-grid
issue
 Minimum 2-Fin transistor will limit the flexibility of low power
design
 Single-Fin transistor is desirable to have.
* If Fin Width=Fw (nm), Fin
space Fs (nm), Fin Must be in
OD edge.
 OD Width=Fw+ (Fw+Fs)*n
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 75 of 81
Design Flow Impact -2
 Fin/PO/OD density control flow to minimize the device
variation
Calculate sensitive analog
block’s density
Surround the sensitive blocks
with a ring of dummy patterns
conventional
Dummy pattern
Insertion related
Prioritize blocks by its
sensitive level and mark cad
layer (ANARRAY_H/M)
Place the non-sensitive
analog blocks
Place Fin/OD/PO patterns
within small density
difference in the
surrounding region
Fill the outside with
conventional dummy utility
and keep IP DRC clean
Place together the sensitive
blocks which have similar
densities
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 76 of 81
 FinFET vs. Planar CMOS on key analog parameters
Challenges & Opportunities
Items Opportunities Challenges
Headroom Vt 50~100mv lower Device breakdown voltage
Mismatch ~ ½ Edge Fin variation
Variation due to smaller OD
Idsat 20~30% higher Via and Metal EM limitation
Self heating
Ft Higher gm Higher Cco
S/D serial resistance
Gate serial resistance
Gain ~ 10dB higher Smaller max. Lg
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 77 of 81
Outline
 1. Technology Considerations
 2. Spice Modeling
 3. Design Methodology and CAD Tools
 4. Digital Design
 Standard Cells, Layout
 IO and ESD
 5. SRAM
 6. Analog & Mixed-Signal, RF
 7. Conclusion
 References
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 78 of 81
Conclusion
 FinFET design has been proven at 22 nm node
 See publications from Intel Corporation at 2012 ISSCC
Conference and 2012 VLSI Technology/Circuits Symposia
 Many Intel product announcements in 2012, at 22 nm node
 FinFET design is gaining popularity
 FinFET design will be the norm at 16 / 14 nm node, and 10 nm
node
 For IC foundry, FinFET
 Problem study is identified
 Solution is identified, too
 The differences between FinFET and planar CMOS need to be
taken care
 Good progress by ecosystem: EDA tools and IP vendors
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 79 of 81
References -1
1. Alioto, M., "Comparative Evaluation of Layout Density in 3T, 4T, and MT
FinFET Standard Cells," IEEE T-VLSI, vol.19, May 2011
2. Rao, R., et al., "Evaluation and Optimization of FinFET Quantization Error in
Porting a Design from Planar Silicon Technology," SOI Conference, pp.49-
50, Oct. 2007
3. Roy, K.; et al., "Device/circuit interactions at 22nm technology node," DAC,
pp.97-102, July 2009
4.. Tawfik, S.A.; et al., "Manufacturable low-power latches for standard tied-
double-gate FinFET technologies," MWSCAS . pp.471-474, Aug. 2009
5. Jie Gu; et al., "Statistical Leakage Estimation of Double Gate FinFET
Devices Considering the Width Quantization Property,” IEEE T-VLSI, vol.16,
pp.206-209, Feb. 2008
6. Itoh, K., "Device-conscious circuit designs for low-voltage nanoscale CMOS
LSIs," Mixed Design of ICs and Systems (MIXDES), pp.21-26, June 2010
7. Manoj, C.R., et al., "Impact of Fringe Capacitance on the Performance of
Nanoscale FinFETs,” IEEE EDL, vol.31, pp.83-85, Jan. 2010
8. Agrawal, S., et al.,"A Physical Model for Fringe Capacitance in Double-Gate
MOSFETs," IEEE T-ED, vol.57, pp.1069-1075, May 2010
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 80 of 81
References -2
9. Wen Wu; et al.,"Gate resistance modeling of multifin MOS devices," IEEE
EDL, vol.27, pp. 68- 70, Jan. 2006
10. Dixit, A., et al., "Analysis of the parasitic S/D resistance in multiple-gate
FETs," IEEE T-ED, vol.52, pp. 1132- 1140, June 2005
11.Agrawal, S., et al.,"A Physical Model for Fringe Capacitance in Double-Gate
MOSFETs With Non-Abrupt Source/Drain Junctions and Gate Underlap,"
IEEE T-ED, vol.57, pp.1069-1075, May 2010
12. Xin Sun, et al., "Variation Study of the Planar Ground-Plane Bulk MOSFET,
SOI FinFET, and Trigate Bulk MOSFET Designs," IEEE T-ED, vol.58,
pp.3294-3299, Oct. 2011
13. E. Baravelli, et al.,“Impact of line-edge roughness on FinFET matching
performance,” IEEE Electron Devices, vol. 54, pp. 2466–2474, Sep. 2007
14. E. Baravelli, et al.,“Impact of LER and random dopant fluctuations on
FinFET matching performance,” IEEE Nanotechnol., pp. 291–298, May 2008.
15. Bennamane, K., et al., "Static and low frequency noise characterization of
FinFET devices," ULIS Conference, pp.39-42, March 2009
© 2012 TSMC, Ltd
© 2013 IEEE © 2013 IEEE
IEEE International Solid-State Circuits Conference
Tutorial: Circuit Design using FinFETs 81 of 81
References -3
16. Jae Woo Lee, et al., "Experimental analysis of surface roughness
scattering in FinFET devices," IEEE ESSDERC, pp.305-308, Sept. 2010
17. O'uchi, S., et al., "Characterization of metal-gate FinFET variability based
on measurements and compact model analyses,“ IEDM, pp.1-4, Dec. 2008
18. Matsukawa, T., et al. , "Comprehensive analysis of variability sources of
FinFET characteristics," VLSI Tech Symposium, pp.118-119, June 2009
19. Endo, K.; et al., "Variability Analysis of TiN Metal-Gate FinFETs," Electron
Device Letters, IEEE, vol.31, pp.546-548, June 2010
20. H. Cheng, et al., "Random work function variation induced threshold
voltage fluctuation in 16-nm bulk FinFET devices with high-k-metal-gate
material," Computational Electronics (IWCE)Workshop, pp.1-4, Oct. 2010
21. Rasouli, S.H., et al., "Grain-Orientation Induced Quantum Confinement
Variation in FinFETs and Multi-Gate Ultra-Thin Body CMOS Devices and
Implications for Digital Design," IEEE T-ED, vol.58, pp.2282-2292, Aug.
2011
22. Matsukawa, et al., "Fluctuation Analysis of Parasitic Resistance in FinFETs
With Scaled Fin Thickness," IEEE EDL, vol.30, pp.407-409, April 2009

More Related Content

What's hot

Fully depleted silicon insulator
Fully depleted silicon insulatorFully depleted silicon insulator
Fully depleted silicon insulatorsandeep sandy
 
Junctionless Transistor
Junctionless Transistor Junctionless Transistor
Junctionless Transistor Durgarao Gundu
 
Double Patterning (4/2 update)
Double Patterning (4/2 update)Double Patterning (4/2 update)
Double Patterning (4/2 update)Danny Luk
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design slpinjare
 
finfet & dg-fet technology
finfet & dg-fet technologyfinfet & dg-fet technology
finfet & dg-fet technologyKritika Ramesh
 
Introduction to FinFET
Introduction to FinFETIntroduction to FinFET
Introduction to FinFETManishKenchi
 
Lect10_Analog Layout and Process Concern
Lect10_Analog Layout and Process ConcernLect10_Analog Layout and Process Concern
Lect10_Analog Layout and Process Concernvein
 
Nanometer layout handbook at high speed design
Nanometer layout handbook at high speed designNanometer layout handbook at high speed design
Nanometer layout handbook at high speed designMinho Park
 
Ic tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process IntegrationIc tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process Integrationkriticka sharma
 
Double gate mosfet
Double gate mosfetDouble gate mosfet
Double gate mosfetPooja Shukla
 
Bandgap Reference circuit Baased on FinFET Device
Bandgap Reference circuit Baased on FinFET DeviceBandgap Reference circuit Baased on FinFET Device
Bandgap Reference circuit Baased on FinFET DeviceYalagoud Patil
 

What's hot (20)

Fully depleted silicon insulator
Fully depleted silicon insulatorFully depleted silicon insulator
Fully depleted silicon insulator
 
Junctionless Transistor
Junctionless Transistor Junctionless Transistor
Junctionless Transistor
 
Matching concept in Microelectronics
Matching concept in MicroelectronicsMatching concept in Microelectronics
Matching concept in Microelectronics
 
Double Patterning (4/2 update)
Double Patterning (4/2 update)Double Patterning (4/2 update)
Double Patterning (4/2 update)
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design
 
BGR
BGRBGR
BGR
 
finfet & dg-fet technology
finfet & dg-fet technologyfinfet & dg-fet technology
finfet & dg-fet technology
 
Latch up
Latch upLatch up
Latch up
 
Layout02 (1)
Layout02 (1)Layout02 (1)
Layout02 (1)
 
Introduction to FinFET
Introduction to FinFETIntroduction to FinFET
Introduction to FinFET
 
Esd
EsdEsd
Esd
 
Double patterning for 32nm and beyond
Double patterning for 32nm and beyondDouble patterning for 32nm and beyond
Double patterning for 32nm and beyond
 
Lect10_Analog Layout and Process Concern
Lect10_Analog Layout and Process ConcernLect10_Analog Layout and Process Concern
Lect10_Analog Layout and Process Concern
 
WPE
WPEWPE
WPE
 
Junctionless transistors
Junctionless transistorsJunctionless transistors
Junctionless transistors
 
Nanometer layout handbook at high speed design
Nanometer layout handbook at high speed designNanometer layout handbook at high speed design
Nanometer layout handbook at high speed design
 
Ic tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process IntegrationIc tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process Integration
 
Double gate mosfet
Double gate mosfetDouble gate mosfet
Double gate mosfet
 
Short channel effects
Short channel effectsShort channel effects
Short channel effects
 
Bandgap Reference circuit Baased on FinFET Device
Bandgap Reference circuit Baased on FinFET DeviceBandgap Reference circuit Baased on FinFET Device
Bandgap Reference circuit Baased on FinFET Device
 

Similar to finfet tsmc.pdf

Nowak NVSMW-ICMTD'08
Nowak NVSMW-ICMTD'08Nowak NVSMW-ICMTD'08
Nowak NVSMW-ICMTD'08EtienneNowak
 
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...VLSICS Design
 
Comparative analysis of technology advancement from single gate to multi gate...
Comparative analysis of technology advancement from single gate to multi gate...Comparative analysis of technology advancement from single gate to multi gate...
Comparative analysis of technology advancement from single gate to multi gate...eSAT Journals
 
OIF CEI-112G at OFC 2020 Presentation
OIF CEI-112G at OFC 2020 PresentationOIF CEI-112G at OFC 2020 Presentation
OIF CEI-112G at OFC 2020 PresentationLeah Wilkinson
 
ComLSI_Cables_2012
ComLSI_Cables_2012ComLSI_Cables_2012
ComLSI_Cables_2012Raj Nair
 
Segmentation of Overlapped and Touching Human Chromosome images
Segmentation of Overlapped and Touching Human Chromosome imagesSegmentation of Overlapped and Touching Human Chromosome images
Segmentation of Overlapped and Touching Human Chromosome imagesIOSR Journals
 
Three-dimensional_integrated_circuits.pdf
Three-dimensional_integrated_circuits.pdfThree-dimensional_integrated_circuits.pdf
Three-dimensional_integrated_circuits.pdfYogeshAM4
 
Three-dimensional_integrated_circuits (3).pdf
Three-dimensional_integrated_circuits (3).pdfThree-dimensional_integrated_circuits (3).pdf
Three-dimensional_integrated_circuits (3).pdfYogeshAM4
 
Three-dimensional_integrated_circuits (2).pdf
Three-dimensional_integrated_circuits (2).pdfThree-dimensional_integrated_circuits (2).pdf
Three-dimensional_integrated_circuits (2).pdfYogeshAM4
 
A proposal and simulation analysis for a novel architecture of gate-all-aroun...
A proposal and simulation analysis for a novel architecture of gate-all-aroun...A proposal and simulation analysis for a novel architecture of gate-all-aroun...
A proposal and simulation analysis for a novel architecture of gate-all-aroun...IJECEIAES
 
20130723 research accomplishment_ud
20130723 research accomplishment_ud20130723 research accomplishment_ud
20130723 research accomplishment_udTAEJONG BAEK
 
LOW POWER BASED TERNARY HALF ADDER USING FIN TYPE FIELD EFFECT TRANSISTOR TEC...
LOW POWER BASED TERNARY HALF ADDER USING FIN TYPE FIELD EFFECT TRANSISTOR TEC...LOW POWER BASED TERNARY HALF ADDER USING FIN TYPE FIELD EFFECT TRANSISTOR TEC...
LOW POWER BASED TERNARY HALF ADDER USING FIN TYPE FIELD EFFECT TRANSISTOR TEC...IRJET Journal
 
14 year HRL research
14 year HRL research14 year HRL research
14 year HRL researchDavid Goren
 
Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...
Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...
Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...IJERA Editor
 
MOSFET_Scaling0803.ppt
MOSFET_Scaling0803.pptMOSFET_Scaling0803.ppt
MOSFET_Scaling0803.pptRevathiMohan14
 
MOSFET_Scaling5012.ppt
MOSFET_Scaling5012.pptMOSFET_Scaling5012.ppt
MOSFET_Scaling5012.pptmohan134666
 

Similar to finfet tsmc.pdf (20)

Nowak NVSMW-ICMTD'08
Nowak NVSMW-ICMTD'08Nowak NVSMW-ICMTD'08
Nowak NVSMW-ICMTD'08
 
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
 
Comparative analysis of technology advancement from single gate to multi gate...
Comparative analysis of technology advancement from single gate to multi gate...Comparative analysis of technology advancement from single gate to multi gate...
Comparative analysis of technology advancement from single gate to multi gate...
 
SOTA.pptx
SOTA.pptxSOTA.pptx
SOTA.pptx
 
Kg3418451855
Kg3418451855Kg3418451855
Kg3418451855
 
OIF CEI-112G at OFC 2020 Presentation
OIF CEI-112G at OFC 2020 PresentationOIF CEI-112G at OFC 2020 Presentation
OIF CEI-112G at OFC 2020 Presentation
 
ComLSI_Cables_2012
ComLSI_Cables_2012ComLSI_Cables_2012
ComLSI_Cables_2012
 
Segmentation of Overlapped and Touching Human Chromosome images
Segmentation of Overlapped and Touching Human Chromosome imagesSegmentation of Overlapped and Touching Human Chromosome images
Segmentation of Overlapped and Touching Human Chromosome images
 
A0160106
A0160106A0160106
A0160106
 
C42042729
C42042729C42042729
C42042729
 
Three-dimensional_integrated_circuits.pdf
Three-dimensional_integrated_circuits.pdfThree-dimensional_integrated_circuits.pdf
Three-dimensional_integrated_circuits.pdf
 
Three-dimensional_integrated_circuits (3).pdf
Three-dimensional_integrated_circuits (3).pdfThree-dimensional_integrated_circuits (3).pdf
Three-dimensional_integrated_circuits (3).pdf
 
Three-dimensional_integrated_circuits (2).pdf
Three-dimensional_integrated_circuits (2).pdfThree-dimensional_integrated_circuits (2).pdf
Three-dimensional_integrated_circuits (2).pdf
 
A proposal and simulation analysis for a novel architecture of gate-all-aroun...
A proposal and simulation analysis for a novel architecture of gate-all-aroun...A proposal and simulation analysis for a novel architecture of gate-all-aroun...
A proposal and simulation analysis for a novel architecture of gate-all-aroun...
 
20130723 research accomplishment_ud
20130723 research accomplishment_ud20130723 research accomplishment_ud
20130723 research accomplishment_ud
 
LOW POWER BASED TERNARY HALF ADDER USING FIN TYPE FIELD EFFECT TRANSISTOR TEC...
LOW POWER BASED TERNARY HALF ADDER USING FIN TYPE FIELD EFFECT TRANSISTOR TEC...LOW POWER BASED TERNARY HALF ADDER USING FIN TYPE FIELD EFFECT TRANSISTOR TEC...
LOW POWER BASED TERNARY HALF ADDER USING FIN TYPE FIELD EFFECT TRANSISTOR TEC...
 
14 year HRL research
14 year HRL research14 year HRL research
14 year HRL research
 
Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...
Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...
Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...
 
MOSFET_Scaling0803.ppt
MOSFET_Scaling0803.pptMOSFET_Scaling0803.ppt
MOSFET_Scaling0803.ppt
 
MOSFET_Scaling5012.ppt
MOSFET_Scaling5012.pptMOSFET_Scaling5012.ppt
MOSFET_Scaling5012.ppt
 

Recently uploaded

Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 

Recently uploaded (20)

Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 

finfet tsmc.pdf

  • 1. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 1 of 81 Circuit Design using FinFETs Bing Sheu, Ph.D. & IEEE Fellow Director, at R&D TSMC email: bing_sheu@tsmc.com February 17, 2013 ISSCC 2013 Tutorial
  • 2. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 2 of 81 Special thanks to the following people for contribution of content: Chih-Sheng Chang, Clement Wann; Ken Wang; L.J. Tyan; Kuo-Ji Chen, Ming-Hsiang Song; Tommy Chen, H.J. Liao; Yung-Chow Peng; and Chris Huang; from several groups inside TSMC R&D: Advanced Technology Research; Design Flow; Layout; Library & IPs, I/O & ESD; Memory Design; High-Speed Circuits; and especially to CTO/VP Jack Sun & DTP VP Cliff Hou. SPICE BSIM-CMG Modeling slides are provided by Chair- Professor Chenming Hu at University of California, Berkeley. Assistance from Ali Sheikholeslami at University of Toronto for ISSCC Tutorial Program, and from Stephen Kosonocky of AMD and Victor Zyuban of IBM at Energy-Efficient Digital Track is highly appreciated. Acknowledgment
  • 3. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 3 of 81 Outline  1. Technology Considerations  2. Spice Modeling  3. Design Methodology and CAD Tools  4. Digital Design  Standard Cells, Layout  IO and ESD  5. SRAM  6. Analog & Mixed-Signal, RF  7. Conclusion  References
  • 4. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 4 of 81 Key FinFET Benefits: Electrostatics Modified (re-wording) from Ref: J. Kavalieros, Technology Short Course, VLSI Symposium, 2008 WFin: fin width HFin: fin height
  • 5. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 5 of 81 Multi-Gate Simulation Structures  SOI substrate was used for this simulation study BOX: buried oxide
  • 6. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 6 of 81 FinFET Electrostatics Simulation -1  With more gate control, a wider fin width could be used to achieve same DIBL (drain-induced barrier lowering) 0 10 20 30 40 50 0 10 20 30 40 50 Quad-gate Tri-gate Double-gate Single-gate Lg=25nm Contour@DIBL=100mV/V H Fin (nm) WFin (nm)
  • 7. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 7 of 81 FinFET Electrostatics Simulation -2  Traditional channel doping could also be used to further improve DIBL 0 10 20 30 40 50 0 10 20 30 40 50 Lg=25nm Contour@DIBL=100mV/V H Fin (nm) WFin (nm) Na=3e18 cm-3 Na=1e17cm-3
  • 8. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 8 of 81 22/20nm FinFET Device  High performance 22/20nm FinFET with  Ion (n/p)= 1200/1100 uA/um at Vdd=1V, Ioff=100nA/um  DIBL (n/p)=100/120 mV/V  Sub-threshold swing ~ 80mV/dec Ref: C. C. Wu, paper 27.1, IEEE IEDM, 2010 -1.0 -0.8 -0.6 -0.4 -0.2 0.0 0.2 0.4 0.6 0.8 1.0 10 -9 10 -8 10 -7 10 -6 10 -5 10 -4 10 -3 10 -2 Drain Current (A/ μ m) Gate Voltage (V) NFET PFET Vd = 1V Vd = 0.05V -1400-1200-1000 -800 -600 600 800 1000 1200 1400 10 0 10 1 10 2 10 3 Ioff (nA/ μ m) Ion (μA/μm) NFET PFET
  • 9. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 9 of 81 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 10 -3 10 -2 10 -1 10 0 10 1 10 2 N-FinFET Low VT Medium VT High VT Normalized I OFF Normalized ION 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 10 -3 10 -2 10 -1 10 0 10 1 10 2 P-FinFET Low VT Medium VT High VT Normalized I OFF Normalized ION 32/28nm SoC FinFET Vdd=1V Vdd=1V  32/28nm SoC FinFET technology with  Low, medium and high Vt transistors follow the same trend line  Multi-Vt techniques do not compromise FinFET performance Ref: C.-C. Yeh, paper 34.1, IEEE IEDM, 2010
  • 10. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 10 of 81 FinFET Parasitic Fringing Capacitance Extra fringing cap. source D S S STI STI D Gate D D D S S STI STI D D D D D D D Wfin Hfin S/D junction A B C B C FinFET D D Gate D D D D D D D Wfin Hfin S A B B S/D junction STI STI  FinFET parasitic fringing capacitance could be higher than that of planar MOSFET due to extra gate-to-S/D coupling area (C regions)  The extra coupling (area of C) could be greatly reduced with tight fin pitch Planar
  • 11. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 11 of 81 Effect of Pitch on Fringing Cap.  TCAD simulation showed that with an aggressive fin pitch scaling, the 3D FinFET fringing capacitance penalty could be greatly reduced. 40 50 60 70 80 0.24 0.28 0.32 0.36 0.40 Planar ref. FinFET
  • 12. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 12 of 81 Pitch Scaling with Spacer Patterning  32/28nm tool used to demonstrated 50nm fin pitch by spacer pitch halving technique. 50nm 50nm fin pitch SRAM bit cell 25nm Lg FinFET Ref: C.-Y. Chang, paper 12.2, IEEE IEDM, 2009
  • 13. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 13 of 81 ( ) L V V V t W v Q W I DD t DD ox eff inv eff D μ ε ⋅ − ⋅ ≈ = HKMG Conventional Scaling FinFET Strain Si Ge / III-V Equivalent Scaling  More current in the same layout footprint  Weff > fin_pitch
  • 14. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 14 of 81 More Current per Footprint  Increase effective width for a given footprint: increase HFin and/or reduce fin pitch Gate STI Fin Gate STI Fin Gate STI Fin Pitch Gate STI Gate STI Gate STI OD Effective width FinFET 3 * (2*HFin+WFin) Planar WOD  FinFET: Cross-section view on Y-Z plane Active Region (AR) AR AR AR  Planar: Cross-section view on width direction
  • 15. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 15 of 81 FinFET Random Doping Fluctuation  With the same doping, simulation showed that FinFET structure can reduce RDF by ~10%  With a lower doping, the RDF can be further reduced Ref: K. J. Kuhn, paper 18.2, IEEE IEDM, 2007
  • 16. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 16 of 81 Outline  1. Technology Considerations  2. Spice Modeling  3. Design Methodology and CAD Tools  4. Digital Design  Standard Cells, Layout  IO and ESD  5. SRAM  6. Analog & Mixed-Signal, RF  7. Conclusion  References
  • 17. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 17 of 81 Berkeley Short-channel IGFET Model  1997: BSIM3 became first industry standard MOSFET model for IC simulation  BSIM3, BSIM4, BSIM-SOI used by hundreds of companies for design of ICs worth half-trillion U.S. dollars  BSIM models of FinFET and UTBSOI are available & free BSIM SPICE Models
  • 18. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 18 of 81  Core Model  Surface Potential Equation  Drain Current  Capacitance Model  Real Device Effects  Symmetry / Continuity Tests  Model Availability: A versatile model for double-gate, triple- gate, even cylindrical gate FET. Passed Industry FinFET Standard balloting in Jan. 2012. Available now.  BSIM-IMG is a related model for Ultra-Thin-Body SOI technology (used by ST Microelectronics). Available now. BSIM-CMG This slide is provided by UC Berkeley Prof. Chenming Hu
  • 19. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 19 of 81 Common-Multi-Gate Modeling  Common Multi-gate (BSIM-CMG):  All gates tied together  Surface-potential-based core I-V and C-V model  Supports double-gate, triple-gate, quadruple-gate, cylindrical-gate; Bulk and SOI substrates This slide is provided by UC Berkeley Prof. Chenming Hu
  • 20. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 20 of 81 NA NA Surface Potential Core  Surface potential is obtained from solution of Poisson’s equation & Gauss’ Law.  Poisson’s equation inside the body can be written as (Vch is channel potential)  Body doping complicates the solution of the Poisson’s equation.  Perturbation approach is used to solve this problem. Ref. M. Dunga et al., IEEE TED, No. 9, 2006; M. Dunga, et al., VLSI 2007; Mohan Dunga, PhD Dissertation, UC Berkeley. Slide provided by Prof. C. Hu    Net Surface Potential Inversion Carriers only Perturbation due to finite doping inv pert ψ ψ ψ = +
  • 21. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 21 of 81 Surface Potential Calculation 0.0 0.4 0.8 1.2 -0.4 0.0 0.4 0.8 Symbols : TCAD Lines : Model Surface Potential (V) Gate Voltage (V) Na = 1x10 15 Na = 1x10 18 Na = 3x10 18 Na = 5x10 18 This slide is provided by UC Berkeley Prof. Chenming Hu  Model matches 2D TCAD very well without fitting parameters for different body doping concentrations
  • 22. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 22 of 81 Core Drain Current Model  Drain Current (Pao-Sah, No charge sheet approximation) 0.0 0.2 0.4 0.6 0.8 1.0 -2 -1 0 1 2 Vds=1.0V Ids Error Relative to TCAD (%) Gate Voltage (V) v104.0 and prior v105.0 0.0 0.2 0.4 0.6 0.8 1.0 -2 -1 0 1 2 Vds=1.0V Gm Error Relative to TCAD (%) Gate Voltage (V) v104.0 and prior v105.0 0.0 0.5 1.0 1.5 0.0 0.4 0.8 1.2 1.6 2D TCAD I-V Model Vg = 0.9V Vg = 1.2V Vg = 1.5V Tsi = 20nm Tox = 2nm Na = 3e18cm -3 Drain Current (mA) Drain Voltage (V) This slide is provided by UC Berkeley Prof. Chenming Hu
  • 23. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 23 of 81 Drain Current in Volume Inversion Ref. M. Dunga, VLSI Symposium, 2007 Lines: Model Symbols: TCAD 0.00 0.25 0.50 0.75 10f 10p 10n 10µ Vds = 0.2V Drain Current (A) Gate Voltage (V) Na = 1e15 cm -3 Tsi = 5nm Tsi = 10nm Tsi = 20nm This slide is provided by UC Berkeley Prof. Chenming Hu  The proportionality of inversion carrier density and hence current to the body thickness in sub-threshold region for un-doped/lightly- doped channel is captured.
  • 24. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 24 of 81 Core Capacitance Model  Model inherently exhibits symmetry  Cij = Cji @ Vds= 0 V  Model overlies TCAD results  No tuning parameters used  Accurate short channel behavior – RF Design Symbols: TCAD Results; Lines: Model Slide provided by UCB Prof. C. Hu
  • 25. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 25 of 81 Symmetry / Continuity Tests  Model passes both DC and AC Symmetry Tests Drain Current Capacitances (Cgg and Csd) Slide is provided by UCB Prof. C. Hu
  • 26. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 26 of 81 PMOS FinFET 35nm to 10um This slide is provided by UC Berkeley Prof. Chenming Hu
  • 27. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 27 of 81 NMOS FinFET 30nm to 10um This slide is provided by UC Berkeley Prof. Chenming Hu
  • 28. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 28 of 81 This slide is provided by UC Berkeley Prof. Chenming Hu
  • 29. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 29 of 81 This slide is provided by UC Berkeley Prof. Chenming Hu
  • 30. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 30 of 81 Outline  1. Technology Considerations  2. Spice Modeling  3. Design Methodology and CAD Tools  4. Digital Design  Standard Cells, Layout  IO and ESD  5. SRAM  6. Analog & Mixed-Signal, RF  7. Conclusion  References
  • 31. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 31 of 81 FinFET Design Issues  Width Quantization  Significantly better layout density for high fin height process [1]  High driving strength cell has larger area reduction [1]  Four QOR indexes to evaluate quantization [2]  Lg and Vth biasing replacement  Adjust Vth through Gate work function engineering [3]  Adjust Leff through gate-drain/source overlap engineering [4]  Implementing weak feedback devices in latches and keepers  Need to use S/D underlap to weaken feedback devices [4]  Quantization effect on Leak estimation  Worse leaking fin dominant leakage distribution [5]  Reduced variation by larger Weff in the same footprint [6]
  • 32. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 32 of 81 FinFET Parasitic Modeling  Parasitic Capacitance  Raised S/D reduce Rpara but increase Cpara [7]  Outer fringe cap in FinFET is larger compared to planar counterparts [7]  Parasitic capacitance modeling [8]  Parasitic Resistance  Larger gate resistance [9]  S/D parasitic resistance modeling [10]  Rpara reduces Ion by ~5% [11]
  • 33. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 33 of 81 Challenges in Parasitic Extraction  Complex 3D transistor structure  More R and C components  3D RC extraction for critical circuits but needs run-time improvement  2.5D RC extraction enhancement for accuracy is required
  • 34. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 34 of 81 Source of Variations in FinFETs  Variation in threshold voltage  Fin Thickness and Fin Height [12, 16]  LER and WER [12–14]  LER is major variation source in lightly doped channel [13,14]  Higher trap density for HKMG (1019/eVcm3 for HfO2) [15]  Variation in mobility & Work function variation (WFV)  Surface roughness scattering [16]  Found WFV of 16meV in FinFET with Lg = 23nm [17]  WFV dominant TiN metal gate FinFET Vth variation [18–20]  WFV due to Grain-Orientation-induced Quantum Confinement [21]  Variation on ID  Rpara variation correlates closely with Tfin variation [22]
  • 35. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 35 of 81 EDA Ecosystem Assessment -1 EDA Tool Tech File/model/PDK Comment Spice Simulation ☆☆☆☆☆ ☆☆☆☆☆ * Need accurate BSIM-CMG model * To drive SPICE simulators to support the model in Q2 MEOL Model + RC Extraction 2.5D ☆☆☆☆☆ ☆☆☆☆☆ * To define MEOL targets and corners * Need accurate FinFET MEOL handing 3D ☆☆☆☆☆ ☆☆☆☆☆ * Need to clarify device cap partition * Tools need to handle R in addition to C DRC ☆☆☆☆☆ ☆☆☆☆☆ * DRC commands to handle fin quantization and FinFET related rules LVS/LPE ☆☆☆☆☆ ☆☆☆☆☆ * Need to consider FinFET specific parameters and LDE spec ★★★ means quite good. Note: Content To be filled by Attendees.
  • 36. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 36 of 81 EDA Ecosystem Assessment -2 ★ ☆ ★★★ means quite good. EDA Tool Tech File/model/PDK Comment RTL Synthesis ☆☆☆☆☆ -- * No change perceived Floorplan/Placeme nt ☆☆☆☆☆ -- * Need to drive CAD tool to honor FinFET region or FinFET pitch rule Routing ☆☆☆☆☆ ☆☆☆☆☆ * FinFET std cell pin access *16 /14 nm DPT rules Static Timing Analysis ☆☆☆☆☆ -- * Need to validate CAD tool accuracy of timing model and pin cap model Custom Design Tool/PDK ☆☆☆☆☆ ☆☆☆☆☆ * Custom tools need to consider FinFET quantized rule and connectivity * PDK needs correct-by-construction Pcells and FinFET specific MOS analyzer and LDE utility Note: Content To be filled by Attendees
  • 37. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 37 of 81 Design Methodology Assessment Readiness Challenges General ☆☆☆☆☆ * Quantization optimization for PPA (IP sizing, clock tree balancing, etc) DFM ☆☆☆☆☆ * Modeling for random yield(CAA in 3D structure), dummies, LPC (fin width/space, OD/PO rounding, etc), CMP (3D structure) Low Power ☆☆☆☆☆ Effective low-power design approaches: * Optimal operating voltages for best power/area * Power gating by header/footer design * Multi-Vt and gate bias approach (?) Reliability ☆☆☆☆☆ * Electro-migration (EM), self heating Variation ☆☆☆☆☆ * Geometry variation vs random doping ★★★ means quite good. Note: Content To be filled by Attendees.
  • 38. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 38 of 81 FinFET vs. Planar: Pros & Cons -1 Pros Cons Potential Solutions Std. Cells a. High drive current b. Low subthreshold leakage current c. Better performance on low-V operation 1. Quantized OD has less flexibility on rise/fall balance and sizing optimization 2. On grid fin constraints layout routing capability 3. Fin structure causes worse heat dispatch 1. Enlarge cell size to improve cell balance I/O & ESD a. High drive current per footprint, enhancing I/O area density 1. Uni-gate direction causes 2-set IO (V & H) effort 2. Difficult for ≧2.5V IO 3. Degraded diode ESD 4. Low ESD self-protection 2. Cascade P/NFET or use VMOS 3. Large size or novel circuit
  • 39. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 39 of 81 FinFET vs. Planar: Pros & Cons -2 Pros Cons Potential Solutions SRAM a. Higher effective cell current for speed b. Less Vt mismatch c. Better Ion/Ioff ratio for longer BL length 1. Quantized device width limits the Vccmin optimization window 1. Enlarge bit cell, or use design assist Analog a. Better gain and matching b. Better headroom 1. Metal/Via EM- tolerance degradation 2. More sensitive to density control 1. With constrained layout style, or time interleaving design to reduce Metal conductance 2. Density control
  • 40. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 40 of 81 Outline  1. Technology Considerations  2. Spice Modeling  3. Design Methodology and CAD Tools  4. Digital Design  Standard Cells, Layout  IO and ESD  5. SRAM  6. Analog & Mixed-Signal, RF  7. Conclusion  References
  • 41. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 41 of 81 FinFET Cross-Section
  • 42. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 42 of 81 Layout Examples Planar FinFET OD Fin
  • 43. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 43 of 81 Quantization Impact on Std Cells  Fin quantization performance impact is 0.7% in average for 200 standard cells  Worst cell speed degradation is 5.9%, due to lack of single Fin device  With single Fin, degradation can be reduced to 2.6%
  • 44. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 44 of 81 I/O & ESD for Planar CMOS -1  Diode protect and self- protect ESD network scheme available  Gated diodes for general purpose ESD protection to achieve  High ESD/um2 & high cross domain CDM level D1 D2 vddcore1 vss1 Cross domain buffer D1 D2 RES vddcore2 vss1 D1 D2 vddio1 vssio1 CML/VML buffer Power clamp vddio2 vssio2 ESD-rule(for NMOS snapback) Power clamp D 1 D 2 Res Input tolerant pads regular driver
  • 45. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 45 of 81 I/O & ESD for Planar CMOS -2  STI diodes for specific ESD protection including  High ESD/fF ( for low-cap/ ultra high speed) & high Vbd (for >1.8V interface protection)  Power clamps (RC-trigger ESD to cover 0.85/1.8/2.5/3.3)  Snapback NMOS available for input tolerant ESD protection GP-I/O <300MHz HS-I/O 300M~1G Very HS-I/O 1G~10G Ultra HS-I/O >10G Interface speed 0.9V 1.2V 1.5V 1.8V 2.5V 3.3V Vcc voltage Gated diode STI diode STI diode
  • 46. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 46 of 81 High Voltage I/O in Planar CMOS -1  Thick oxide devices optimized for low voltage interface circuit (1.8V and below)  For >2.5V I/O, design with 1.8V transistor cascode approach or HVMOS approach bias1 3.3V 3.3V GND bias2 1.8V I/O pad 3.3V interface circuit using 1.8V transistor cascode approach
  • 47. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 47 of 81 High Voltage I/O in Planar CMOS -2 bias 3.3V 3.3V GND 1.8V I/O pad 3.3V interface circuit using drain-extend HVMOS approach HVNMOS HVPMOS
  • 48. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 48 of 81 FinFET I/O & ESD Design Challenge  I/O design and implementation  Cascode approach only for high voltage I/O design, no drain extend HVMOS available in FinFET  No native/low-Vt IO device for low-Vccmin I/O level shifter and low Vt-drop pass gate for input high voltage tolerant circuit  For uni-IO gate direction required for FinFET process, will need 2 sets of I/O for ring type placement  ESD protection  Snapback-type ESD protection not available  Diode efficiency degradation due to high ESD current density
  • 49. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 49 of 81 Gated Diode TCAD ESD Simulation  Expect ESD level for FinFET to degrade by 20~40% due to increased current density & joule heating  Need to implement ESD devices with bigger size to meet ESD spec. Joule Heat Main heating source Planar Fin Current density Planar Fin
  • 50. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 50 of 81 ESD Network for FinFET I/O  All FinFET devices protect by diodes and active clamp  No snapback ESD in FinFET I/O D1 D2 vddio1 vssio1 CML/VML buffer Power clamp vddio2 vssio2 ESD-RDR (for NMOS snapback) Power clamp D1 D2 Res Input tolerant pads D1 D2 vddcore1 vss1 Cross domain buffer D1 D2 RES vddcore2 vss1 CDM protection No Snapback ESD in FinFET
  • 51. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 51 of 81 FinFET ESD Devices Clamp type Potential FinFET solution Applications Diode Gated diode CMOS push-pull GPIO Core/IO CML diver Cross domain CDM STI diode Low-C for Gbps serdes/RF High voltage (>2.5V) none-snapback MOS- driver Resistor protected P/NMOS GPIO CML driver Power clamp (core voltage) RC trigger with Fin- core large transistor General purpose core voltage clamp Power clamp (IO voltage) RC trigger with Fin- IO large transistor General purpose IO voltage clamp Power clamp (High voltage, >2.5V) RC trigger with Fin- cascode large transistor High voltage interface PMU
  • 52. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 52 of 81 Outline  1. Technology Considerations  2. Spice Modeling  3. Design Methodology and CAD Tools  4. Digital Design  Standard Cells, Layout  IO and ESD  5. SRAM  6. Analog & Mixed-Signal, RF  7. Conclusion  References
  • 53. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 53 of 81 Challenges in Scaling CMOS SRAM  The most challenge is SCE (Short Channel Effect) control  Requires heavy channel doping (>1018 cm-3) and heavy super- halo implants to control surface leakage  Side effects of the heavy doping  Carrier mobility is severely degraded due to impurities scattering  High transverse electric field in the device “ON” state  The increased depletion charge density results in a larger depletion capacitance hence a larger sub-threshold slope.  Off-state leakage current increase due to band-to-band tunneling between the body and drain.  Vt variation caused by Random Dopant Fluctuation (RDF) is another concern for planar CMOS
  • 54. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 54 of 81 FinFET Benefits for SRAM Design  SCE can be effectively suppressed by using thin-body transistor structure like FinFET: less gate length variability  The Lg can scale down to 10nm region without using heavy channel/body doping  Lightly-doped channel gives rise to  Lower transverse electrical field in the “ON” state  Reduced impurity scattering  Higher carrier mobility (2X higher)  Reduced depletion charge & capacitance lead to a steep sub- threshold slope  Both depletion and junction capacitances are effectively eliminated, which reduce the BL capacitive load.  Reduce random dopant fluctuation effects  Strain benefit will be greater (Ref: ITRS, 2007)
  • 55. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 55 of 81 Variation point of View on SRAM  Less RDF (Random Dopant Fluctuation)  More Sensitive to geometry variation  Fin height, width, edge roughness  PO patterning variation since topology is rough  Implies more RDR rules for CD control
  • 56. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 56 of 81 Fin Edge Effect on Variation -1 Edge fin seems wider Fin width =10nm Fin pitch = 40nm Fin height = 30nm Novel SIT process improve fin uniformity Ref: T. Yamashita, et. al , (IBM) “Sub-25nm FinFET with Advanced Fin Formation and Short Channel Effect Engineering”, VLSI Symp., 2011
  • 57. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 57 of 81 Fin Edge Effect on Variation -2 Novel SIT process improve the fin uniformity (a) (b) Mandrel definition (c) (d) (e) DIBL improved
  • 58. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 58 of 81 Fin Height Variation -1  Global variation > local variation for FinFET (Seems good news for SRAM designer)  Fin Height Variation (FHV) contribute a major portion of global variation global variation > local variation Fin Height Variation dominates global variation
  • 59. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 59 of 81 Fin Height Variation -2 Ref: P. Dobrovollny, et al., “Impact of fin height variations on SRAM yield”, IMEC BFF: Bulk FinFET (38.22 – 11.32)1/2 = 36.5 SOIFF: SOI FinFET
  • 60. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 60 of 81 SNM and WTP(WM) for FHV -1  FHV dominates the overall inter-die (global) variation  At the product level intra-die (local) variation limits the max. size of SRAM capacity, due to the VCCmin target. Ref: P. Dobrovollny, et al., “Impact of fin height variations on SRAM yield”, IMEC Local variation Global variation
  • 61. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 61 of 81 SNM and WTP(WM) for FHV -2 FHV dominates the global variation
  • 62. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 62 of 81 SNM and WTP(WM) for FHV -3 FHV contributes the same weighting as local variation
  • 63. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 63 of 81 Challenges on SRAM Cell Design  Quantization fin  For minimum area: α- and β-ratio = 1, a naturally read- preferred bit cell cause VCCmin issue  For low VCCmin cell: enlarge β-ratio = 2, cost area penalty High Density (HDC): 0.092 um2 1:1:1 PU:PG:PD Low Voltage (LVC): 0.108 um2 1:1:2 PU:PG:PD Ref: paper 13.1 (Intel), ISSCC, 2012 PU=1 PU=1 PD=1 PD=1 PG=1 PG=1 PU=1 PU=1 PG=1 PG=1 PD=1 PD=2
  • 64. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 64 of 81 Surface Orientation for Vccmin -1  Electron mobility along (100) plane is higher than along (110)  PD device rotate to (100) to increase PD strength and also beta ratio  Side effect is lithography challenge and may result in increased process variation PU PD PG Up sizing PD can greater improve read SNM PD PD PU PG beta ratio = 2 beta ratio = 1
  • 65. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 65 of 81 Surface Orientation for Vccmin -2 PD (100) PU PG (110) (110) Wafer orientation Beta ratio >1 Strength PD > PG Ref: Zheng Guo, et al., “FinFET-based SRAM design”, ISPED, 2005
  • 66. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 66 of 81 Write-Assist : VDD Collapse  Column-based Vcc,cell bias technique Ref: VDD collapse, (Intel) IEDM, 2011
  • 67. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 67 of 81 Write-Assist : Negative BL  Write driver with boosted control and attenuation Ref: “Negative bit-line”, (IBM), ISSCC, 2011
  • 68. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 68 of 81 Example of Back-Gate SRAM Design  Use back-gate control to improve the read-SNM Weaker PG for read Stronger PG for write Ref: Zheng Guo, et al. (UC Berkeley), “FinFET-based SRAM design”, ISPED, 2005.
  • 69. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 69 of 81 Outline  1. Technology Considerations  2. Spice Modeling  3. Design Methodology and CAD Tools  4. Digital Design  Standard Cells, Layout  IO and ESD  5. SRAM  6. Analog & Mixed-Signal, RF  7. Conclusion  References
  • 70. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 70 of 81 Key Device Requirements  Headroom to support cascode structure  Vt < 0.2* Vdd  Core device Ft to support 60GHz RF applications  Ft > 250Ghz  Low gate resistance to minimize flicker noise  Sheet resistance < 50 Ohm  Mismatch of IO device  IAVtgm < 5mv-um  Gain > 200 (or 46dB)  Noise @ Core Lmin  < 30 uV^2 um^2/Hz @ 1Hz  Metal/Via EM handling capability close to device driving capability for driver applications
  • 71. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 71 of 81 Pros & Cons on Analog/RF Circuits Pros:  Better matching  Higher current driving capability  Lower gds  higher gain  Smaller Vt  larger headroom  Effectively no body-effect  Lower leakage Cons: Impact high frequency application (Ft) Higher S/D resistance degrade gm Higher Cco Device EM handling capability Passive components (diode, resistor) availability Loop shape layout not allowed Behavior not as usual Self-Heating causes higher local temperature and degrades EM performance
  • 72. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 72 of 81 Assessed EM Limitation on FinFET  Via EM limits the maximum allowable power delivery from single FinFET transistor  Degradation for each new generation:  Via EM capability drop ~0.7X  Device driving capability increase ~ 1.25X  Possible solutions  Shorter wire length  Lower local self-heating
  • 73. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 73 of 81 Fin/PO/OD Local Density Impact  With HKMG, device uniformity shows higher variation in traditional layout style  MG (metal gate) Hi-Resistor array with center effect  Affected by STI dishing due to low OD density  MOS array with edge effect  Edge devices of MOS array with higher variation due to poly gradient between array and surrounding patterns  Interference among analog blocks due to each with different and wide spreading density on poly and OD  Fin density is likely new factor to impact device uniformity  New layout style and flow needed to minimize this effect  Gradient control on density from array to surrounding patterns
  • 74. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 74 of 81 Design Flow Impact -1  Quantized Fin  Limit the flexibility of IP porting  Due to discrete width  Fin on track limits the flexibility on analog block floor plan  Need CAD tool to optimize the quantized width and off-grid issue  Minimum 2-Fin transistor will limit the flexibility of low power design  Single-Fin transistor is desirable to have. * If Fin Width=Fw (nm), Fin space Fs (nm), Fin Must be in OD edge.  OD Width=Fw+ (Fw+Fs)*n
  • 75. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 75 of 81 Design Flow Impact -2  Fin/PO/OD density control flow to minimize the device variation Calculate sensitive analog block’s density Surround the sensitive blocks with a ring of dummy patterns conventional Dummy pattern Insertion related Prioritize blocks by its sensitive level and mark cad layer (ANARRAY_H/M) Place the non-sensitive analog blocks Place Fin/OD/PO patterns within small density difference in the surrounding region Fill the outside with conventional dummy utility and keep IP DRC clean Place together the sensitive blocks which have similar densities
  • 76. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 76 of 81  FinFET vs. Planar CMOS on key analog parameters Challenges & Opportunities Items Opportunities Challenges Headroom Vt 50~100mv lower Device breakdown voltage Mismatch ~ ½ Edge Fin variation Variation due to smaller OD Idsat 20~30% higher Via and Metal EM limitation Self heating Ft Higher gm Higher Cco S/D serial resistance Gate serial resistance Gain ~ 10dB higher Smaller max. Lg
  • 77. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 77 of 81 Outline  1. Technology Considerations  2. Spice Modeling  3. Design Methodology and CAD Tools  4. Digital Design  Standard Cells, Layout  IO and ESD  5. SRAM  6. Analog & Mixed-Signal, RF  7. Conclusion  References
  • 78. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 78 of 81 Conclusion  FinFET design has been proven at 22 nm node  See publications from Intel Corporation at 2012 ISSCC Conference and 2012 VLSI Technology/Circuits Symposia  Many Intel product announcements in 2012, at 22 nm node  FinFET design is gaining popularity  FinFET design will be the norm at 16 / 14 nm node, and 10 nm node  For IC foundry, FinFET  Problem study is identified  Solution is identified, too  The differences between FinFET and planar CMOS need to be taken care  Good progress by ecosystem: EDA tools and IP vendors
  • 79. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 79 of 81 References -1 1. Alioto, M., "Comparative Evaluation of Layout Density in 3T, 4T, and MT FinFET Standard Cells," IEEE T-VLSI, vol.19, May 2011 2. Rao, R., et al., "Evaluation and Optimization of FinFET Quantization Error in Porting a Design from Planar Silicon Technology," SOI Conference, pp.49- 50, Oct. 2007 3. Roy, K.; et al., "Device/circuit interactions at 22nm technology node," DAC, pp.97-102, July 2009 4.. Tawfik, S.A.; et al., "Manufacturable low-power latches for standard tied- double-gate FinFET technologies," MWSCAS . pp.471-474, Aug. 2009 5. Jie Gu; et al., "Statistical Leakage Estimation of Double Gate FinFET Devices Considering the Width Quantization Property,” IEEE T-VLSI, vol.16, pp.206-209, Feb. 2008 6. Itoh, K., "Device-conscious circuit designs for low-voltage nanoscale CMOS LSIs," Mixed Design of ICs and Systems (MIXDES), pp.21-26, June 2010 7. Manoj, C.R., et al., "Impact of Fringe Capacitance on the Performance of Nanoscale FinFETs,” IEEE EDL, vol.31, pp.83-85, Jan. 2010 8. Agrawal, S., et al.,"A Physical Model for Fringe Capacitance in Double-Gate MOSFETs," IEEE T-ED, vol.57, pp.1069-1075, May 2010
  • 80. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 80 of 81 References -2 9. Wen Wu; et al.,"Gate resistance modeling of multifin MOS devices," IEEE EDL, vol.27, pp. 68- 70, Jan. 2006 10. Dixit, A., et al., "Analysis of the parasitic S/D resistance in multiple-gate FETs," IEEE T-ED, vol.52, pp. 1132- 1140, June 2005 11.Agrawal, S., et al.,"A Physical Model for Fringe Capacitance in Double-Gate MOSFETs With Non-Abrupt Source/Drain Junctions and Gate Underlap," IEEE T-ED, vol.57, pp.1069-1075, May 2010 12. Xin Sun, et al., "Variation Study of the Planar Ground-Plane Bulk MOSFET, SOI FinFET, and Trigate Bulk MOSFET Designs," IEEE T-ED, vol.58, pp.3294-3299, Oct. 2011 13. E. Baravelli, et al.,“Impact of line-edge roughness on FinFET matching performance,” IEEE Electron Devices, vol. 54, pp. 2466–2474, Sep. 2007 14. E. Baravelli, et al.,“Impact of LER and random dopant fluctuations on FinFET matching performance,” IEEE Nanotechnol., pp. 291–298, May 2008. 15. Bennamane, K., et al., "Static and low frequency noise characterization of FinFET devices," ULIS Conference, pp.39-42, March 2009
  • 81. © 2012 TSMC, Ltd © 2013 IEEE © 2013 IEEE IEEE International Solid-State Circuits Conference Tutorial: Circuit Design using FinFETs 81 of 81 References -3 16. Jae Woo Lee, et al., "Experimental analysis of surface roughness scattering in FinFET devices," IEEE ESSDERC, pp.305-308, Sept. 2010 17. O'uchi, S., et al., "Characterization of metal-gate FinFET variability based on measurements and compact model analyses,“ IEDM, pp.1-4, Dec. 2008 18. Matsukawa, T., et al. , "Comprehensive analysis of variability sources of FinFET characteristics," VLSI Tech Symposium, pp.118-119, June 2009 19. Endo, K.; et al., "Variability Analysis of TiN Metal-Gate FinFETs," Electron Device Letters, IEEE, vol.31, pp.546-548, June 2010 20. H. Cheng, et al., "Random work function variation induced threshold voltage fluctuation in 16-nm bulk FinFET devices with high-k-metal-gate material," Computational Electronics (IWCE)Workshop, pp.1-4, Oct. 2010 21. Rasouli, S.H., et al., "Grain-Orientation Induced Quantum Confinement Variation in FinFETs and Multi-Gate Ultra-Thin Body CMOS Devices and Implications for Digital Design," IEEE T-ED, vol.58, pp.2282-2292, Aug. 2011 22. Matsukawa, et al., "Fluctuation Analysis of Parasitic Resistance in FinFETs With Scaled Fin Thickness," IEEE EDL, vol.30, pp.407-409, April 2009