SlideShare a Scribd company logo
FLOOR PLANNING
BY AMIT KR. CHAMOLI
Outlines
 Introduction
 Efficacy
 Merits
 Input/Output
 Floorplanning Problem
 Challenges
 Floorplanning Representations and Approaches
 Floorplanning Model
 Algorithms
 Assignment
 Conclusion
Introduction
 Floorplanning is an essential element of
hierarchical design flows, especially for
large SoC(System On Chip) designs. A
typical SoC could include hundreds of
RAMs, soft and hard IP(Intellectual
property), analog blocks, and multiple
power domains.
 A hierarchical methodology that extends
the capacity of design-automation tools,
improves tool runtimes, and mitigates
overall design risk by minimizing last
minute design changes
Floorplanning
 block placement
 Pin assignment
 Design partitioning
 Time budgeting
 Power and clock planning
Efficacy
 Floorplanning is considered when the
Design has not met timing or does
not meet timing consistently
 Critical logic to Improve performance
 Reduce routing congestion
 Improve module-level performance
and Area
 Improve Implementation Run time
and consistency with partitions
Merits
 Eliminate Guess work
 Minimize the impact of surprises in
chip assembly
 Reduce the risks associated with
Hierarchical Flows and Shorten the
time to design closure
 Timing
 Congestion
 More Flexibility in Design layout
Floorplanning phase
 Input
A set of blocks with constraints on area,
shapes, relative positions, Constraints on
chip area and aspect ratio, Netlist.
 Output
Shapes, Locations, Pin positions of the
blocks
 Objective Functions
Performance, chip area, and wire length
Floorplanning Problem
The floorplanning problem is to plan the
positions and shapes of the modules at the
beginning of the design cycle to optimize
the circuit performance:
 chip area
 total wirelength
 delay of critical path
 routability
 others, e.g., noise, heat dissipation, etc.
Floorplanning Challenges
 Bad Input/output Pad and Macro
placement
 Inaccurate Timing ,Area and Power
estimation
 Inadequate Region shaping ,
Partitioning and Pin Assignment
Floorplanning strategies
 Floorplanning must take into account
blocks of varying function, size,
shape.
 Must design:
 space allocation
 signal routing
 power supply routing
 clock distribution
Purposes of Floorplanning
 Early in design:
 Prepare a floorplan to budget area, wire
area/delay.Tradeoffs between blocks can
be negotiated.
 Late in design:
 Make sure the pieces fit together as
planned.
 Implement the global layout.
Floorplanning: Why Important?
 Early stage of physical design
 Determines the location of large blocks
 detailed placement easier (divide and
conquer!)
 Estimates of area, delay, power
 important design decisions
 Impact on subsequent design steps
(e.g., routing, heat dissipation analysis
and optimization)
Floorplanning tips
• Develop a wiring plan. Think about how
layers will be used to distribute important
wires.
• Sweep small components into larger blocks.
A floorplan with a single NAND gate in the
middle will be hard to work with.
• Design wiring that looks simple. If it looks
complicated, it is complicated.
• Draw separate wiring plans for power and
clocking. These are important design tasks
which should be tackled early.
Representations and Approaches
 Two popular approaches to floorplan
1. Simulated annealing
2. Analytical formulation
 Floorplan representations
1. Normalized Polish expression
2. B*-tree
3. Sequence Pair
4. Polar Graph
Floorplanning Model
1. Slicing floorplans
2. Non-slicing floorplans
 Slicing Tree
 A binary tree that models a slicing
structure.
 Each node represents a vertical cut line
(V), or a horizontal cut line (H).
 A third kind of node called Wheel (W)
appears for non sliceable floorplans
Floorplanning Model (Cont)
A Non-Slicing FloorplanSlicing Floorplan and its Slicing Tree
Floorplanning Algorithms
 Components
 “Placeholder” representation
 Usually in the form of a tree
 Slicing class: Polish expression
 Non-slicing class: O-tree, Sequence Pair, etc.
 Just defines the relative position of modules
 Perturbation
 Going from one floorplan to another
 Usually done using Simulated Annealing
 Floorplan sizing
 Choose the best shape for each module to minimize area
 Slicing: polynomial, bottom-up algorithm
 Non-slicing: Use mathematical programming (exact solution)
 Cost function
 Area, wire-length, ...
Classification of Algorithms
 Simulated Annealing
 Constraint Based methods
 (Integer) Linear Programming
Methods
 Rectangular Dualization Based
Methods
 Hierarchical Tree Based Methods
 Timing Driven Floorplanning
Algorithms
Simulated Annealing
 In this process, a material is first heated up
to a temperature that allow all its
molecules to move freely around and is
then cooled down very slowly.
 Perform computation that analogous to
physical process.
 The energy corresponds to the cost function
 Molecular movement corresponds to a sequence
of moves in the set of feasible solution
 Temperature corresponds to a control parameter
T which control the acceptance probability for a
move i.e. A good move
Wong-Liu Floorplanning Algorithm
 Uses simulated annealing
 Normalized Polish expressions represent
floorplans
 Cost function:
 cost = area + total WireLength
 Floorplan sizing is used to determine area
 After floorplan sizing, the exact location of each
module is known, hence wire-length can be
calculated
Wong-Liu Floorplanning Algorithm
(cont.)
 Moves:
 OP1: Exchange two operands that have
no other operands in between
 OP2: Complement a series of operators
between two operands
 OP3: Exchange adjacent operand and operator if the
resulting expression still a normalized Polish exp.
OP1OP1OP1OP1
OP1OP1OP1OP1 OP1OP1OP1OP1
12 | 4 – 3 | 12 | 3 – 4 | 12 - 3 – 4 | 12 - 3 4 - |
Assignment
 What are timing failure?
 What are the critical hierarchical
Block and Risk?
 Are changes/moves to the Floorplan
or critical logic going to be sufficient
to meet timing?
 Does anything else need to be
Floorplaned?
Conclusion
 Floorplanning is the foundation of a quality
IC implementation. The decisions made
regarding IO pad placement, macro
placement, partitioning, pin assignment,
and power planning ripple through the
place-and-route flow. Designers need
solutions that can handle extremely large
data sets, design variability and
complexity, in addition to enabling fast,
high-quality floorplanning.
Q & A
 THANK YOU

More Related Content

What's hot

VLSI routing
VLSI routingVLSI routing
VLSI routing
Naveen Kumar
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
Subash John
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
Mahesh Dananjaya
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
Murali Rai
 
System On Chip
System On ChipSystem On Chip
System On Chip
anishgoel
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
Avinash Pillai
 
Interconnect timing model
Interconnect  timing modelInterconnect  timing model
Interconnect timing model
Prachi Pandey
 
Placement and algorithm.
Placement and algorithm.Placement and algorithm.
Placement and algorithm.
Ashish Singh
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
helloactiva
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
I World Tech
 
Physical design
Physical design Physical design
Physical design
Mantra VLSI
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
Anil Yadav
 
Design challenges in physical design
Design challenges in physical designDesign challenges in physical design
Design challenges in physical design
Deiptii Das
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
CHENCHU CHANDU PRASANTH NADELLA
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
Silicon Labs
 
Low Power VLSI Designs
Low Power VLSI DesignsLow Power VLSI Designs
Low Power VLSI Designs
Mahesh Dananjaya
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
Mantra VLSI
 
VLSI Physical Design Automation.ppt
VLSI Physical Design Automation.pptVLSI Physical Design Automation.ppt
VLSI Physical Design Automation.ppt
RichikDey5
 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
keshava murali
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
shaik sharief
 

What's hot (20)

VLSI routing
VLSI routingVLSI routing
VLSI routing
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 
Interconnect timing model
Interconnect  timing modelInterconnect  timing model
Interconnect timing model
 
Placement and algorithm.
Placement and algorithm.Placement and algorithm.
Placement and algorithm.
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
Physical design
Physical design Physical design
Physical design
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Design challenges in physical design
Design challenges in physical designDesign challenges in physical design
Design challenges in physical design
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Low Power VLSI Designs
Low Power VLSI DesignsLow Power VLSI Designs
Low Power VLSI Designs
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
 
VLSI Physical Design Automation.ppt
VLSI Physical Design Automation.pptVLSI Physical Design Automation.ppt
VLSI Physical Design Automation.ppt
 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 

Similar to floor planning

UIC Thesis Morandi
UIC Thesis MorandiUIC Thesis Morandi
UIC Thesis Morandi
Marco Santambrogio
 
3rd 3DDRESD: Floorplacer
3rd 3DDRESD: Floorplacer3rd 3DDRESD: Floorplacer
3rd 3DDRESD: Floorplacer
Marco Santambrogio
 
Pd flow i
Pd flow iPd flow i
Pd flow i
Alok Kumar
 
Ground_System_Design_&_Operation
Ground_System_Design_&_OperationGround_System_Design_&_Operation
Ground_System_Design_&_Operation
Steven Gemeny
 
UIC Thesis Montone
UIC Thesis MontoneUIC Thesis Montone
UIC Thesis Montone
Marco Santambrogio
 
Digital_system_design_A (1).ppt
Digital_system_design_A (1).pptDigital_system_design_A (1).ppt
Digital_system_design_A (1).ppt
BUCHUPALLIVIMALAREDD2
 
Simulated Annealing Algorithm for VLSI Floorplanning for Soft Blocks
Simulated Annealing Algorithm for VLSI Floorplanning for Soft BlocksSimulated Annealing Algorithm for VLSI Floorplanning for Soft Blocks
Simulated Annealing Algorithm for VLSI Floorplanning for Soft Blocks
IJCSIS Research Publications
 
Algorithmic Techniques for Parametric Model Recovery
Algorithmic Techniques for Parametric Model RecoveryAlgorithmic Techniques for Parametric Model Recovery
Algorithmic Techniques for Parametric Model Recovery
CurvSurf
 
3D-DRESD R4R
3D-DRESD R4R3D-DRESD R4R
3D-DRESD R4R
Marco Santambrogio
 
K.chart
K.chartK.chart
K.chart
Ali Azarnia
 
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
VLSICS Design
 
Control term proj3012
Control term proj3012Control term proj3012
Control term proj3012
Taweedej Sirithanapipat
 
HPPS - Final - 06/14/2007
HPPS - Final - 06/14/2007HPPS - Final - 06/14/2007
HPPS - Final - 06/14/2007
Marco Santambrogio
 
3D-DRESD Polaris
3D-DRESD Polaris3D-DRESD Polaris
3D-DRESD Polaris
Marco Santambrogio
 
Crash course on data streaming (with examples using Apache Flink)
Crash course on data streaming (with examples using Apache Flink)Crash course on data streaming (with examples using Apache Flink)
Crash course on data streaming (with examples using Apache Flink)
Vincenzo Gulisano
 
SOC Chip Basics
SOC Chip BasicsSOC Chip Basics
SOC Chip Basics
A B Shinde
 
L1_vhdl_Intro (1).ppt
L1_vhdl_Intro (1).pptL1_vhdl_Intro (1).ppt
L1_vhdl_Intro (1).ppt
OsamaOsama46
 
L1_vhdl_Intro.ppt
L1_vhdl_Intro.pptL1_vhdl_Intro.ppt
L1_vhdl_Intro.ppt
OsamaOsama46
 
Chapter 4: Induction Heating Computer Simulation
Chapter 4: Induction Heating Computer SimulationChapter 4: Induction Heating Computer Simulation
Chapter 4: Induction Heating Computer Simulation
Fluxtrol Inc.
 
5035-Pipeline-Optimization-Techniques.pdf
5035-Pipeline-Optimization-Techniques.pdf5035-Pipeline-Optimization-Techniques.pdf
5035-Pipeline-Optimization-Techniques.pdf
ssmukherjee2013
 

Similar to floor planning (20)

UIC Thesis Morandi
UIC Thesis MorandiUIC Thesis Morandi
UIC Thesis Morandi
 
3rd 3DDRESD: Floorplacer
3rd 3DDRESD: Floorplacer3rd 3DDRESD: Floorplacer
3rd 3DDRESD: Floorplacer
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
Ground_System_Design_&_Operation
Ground_System_Design_&_OperationGround_System_Design_&_Operation
Ground_System_Design_&_Operation
 
UIC Thesis Montone
UIC Thesis MontoneUIC Thesis Montone
UIC Thesis Montone
 
Digital_system_design_A (1).ppt
Digital_system_design_A (1).pptDigital_system_design_A (1).ppt
Digital_system_design_A (1).ppt
 
Simulated Annealing Algorithm for VLSI Floorplanning for Soft Blocks
Simulated Annealing Algorithm for VLSI Floorplanning for Soft BlocksSimulated Annealing Algorithm for VLSI Floorplanning for Soft Blocks
Simulated Annealing Algorithm for VLSI Floorplanning for Soft Blocks
 
Algorithmic Techniques for Parametric Model Recovery
Algorithmic Techniques for Parametric Model RecoveryAlgorithmic Techniques for Parametric Model Recovery
Algorithmic Techniques for Parametric Model Recovery
 
3D-DRESD R4R
3D-DRESD R4R3D-DRESD R4R
3D-DRESD R4R
 
K.chart
K.chartK.chart
K.chart
 
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
 
Control term proj3012
Control term proj3012Control term proj3012
Control term proj3012
 
HPPS - Final - 06/14/2007
HPPS - Final - 06/14/2007HPPS - Final - 06/14/2007
HPPS - Final - 06/14/2007
 
3D-DRESD Polaris
3D-DRESD Polaris3D-DRESD Polaris
3D-DRESD Polaris
 
Crash course on data streaming (with examples using Apache Flink)
Crash course on data streaming (with examples using Apache Flink)Crash course on data streaming (with examples using Apache Flink)
Crash course on data streaming (with examples using Apache Flink)
 
SOC Chip Basics
SOC Chip BasicsSOC Chip Basics
SOC Chip Basics
 
L1_vhdl_Intro (1).ppt
L1_vhdl_Intro (1).pptL1_vhdl_Intro (1).ppt
L1_vhdl_Intro (1).ppt
 
L1_vhdl_Intro.ppt
L1_vhdl_Intro.pptL1_vhdl_Intro.ppt
L1_vhdl_Intro.ppt
 
Chapter 4: Induction Heating Computer Simulation
Chapter 4: Induction Heating Computer SimulationChapter 4: Induction Heating Computer Simulation
Chapter 4: Induction Heating Computer Simulation
 
5035-Pipeline-Optimization-Techniques.pdf
5035-Pipeline-Optimization-Techniques.pdf5035-Pipeline-Optimization-Techniques.pdf
5035-Pipeline-Optimization-Techniques.pdf
 

More from Team-VLSI-ITMU

Ch 6 randomization
Ch 6 randomizationCh 6 randomization
Ch 6 randomization
Team-VLSI-ITMU
 
Intermediate Fabrics
Intermediate FabricsIntermediate Fabrics
Intermediate Fabrics
Team-VLSI-ITMU
 
RTX Kernal
RTX KernalRTX Kernal
RTX Kernal
Team-VLSI-ITMU
 
CNTFET
CNTFETCNTFET
scripting in Python
scripting in Pythonscripting in Python
scripting in Python
Team-VLSI-ITMU
 
Reduced ordered binary decision diagram
Reduced ordered binary decision diagramReduced ordered binary decision diagram
Reduced ordered binary decision diagram
Team-VLSI-ITMU
 
Nmos design using synopsys TCAD tool
Nmos design using synopsys TCAD toolNmos design using synopsys TCAD tool
Nmos design using synopsys TCAD tool
Team-VLSI-ITMU
 
Linux Basics
Linux BasicsLinux Basics
Linux Basics
Team-VLSI-ITMU
 
CAD: Layout Extraction
CAD: Layout ExtractionCAD: Layout Extraction
CAD: Layout Extraction
Team-VLSI-ITMU
 
CAD: introduction to floorplanning
CAD:  introduction to floorplanningCAD:  introduction to floorplanning
CAD: introduction to floorplanning
Team-VLSI-ITMU
 
Computer Aided Design: Layout Compaction
Computer Aided Design: Layout CompactionComputer Aided Design: Layout Compaction
Computer Aided Design: Layout Compaction
Team-VLSI-ITMU
 
Computer Aided Design: Global Routing
Computer Aided Design:  Global RoutingComputer Aided Design:  Global Routing
Computer Aided Design: Global Routing
Team-VLSI-ITMU
 
Cmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technologyCmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technology
Team-VLSI-ITMU
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
Team-VLSI-ITMU
 
All opam assignment2_main
All opam assignment2_mainAll opam assignment2_main
All opam assignment2_main
Team-VLSI-ITMU
 
MOSFET Small signal model
MOSFET Small signal modelMOSFET Small signal model
MOSFET Small signal model
Team-VLSI-ITMU
 
twin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCADtwin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCAD
Team-VLSI-ITMU
 

More from Team-VLSI-ITMU (17)

Ch 6 randomization
Ch 6 randomizationCh 6 randomization
Ch 6 randomization
 
Intermediate Fabrics
Intermediate FabricsIntermediate Fabrics
Intermediate Fabrics
 
RTX Kernal
RTX KernalRTX Kernal
RTX Kernal
 
CNTFET
CNTFETCNTFET
CNTFET
 
scripting in Python
scripting in Pythonscripting in Python
scripting in Python
 
Reduced ordered binary decision diagram
Reduced ordered binary decision diagramReduced ordered binary decision diagram
Reduced ordered binary decision diagram
 
Nmos design using synopsys TCAD tool
Nmos design using synopsys TCAD toolNmos design using synopsys TCAD tool
Nmos design using synopsys TCAD tool
 
Linux Basics
Linux BasicsLinux Basics
Linux Basics
 
CAD: Layout Extraction
CAD: Layout ExtractionCAD: Layout Extraction
CAD: Layout Extraction
 
CAD: introduction to floorplanning
CAD:  introduction to floorplanningCAD:  introduction to floorplanning
CAD: introduction to floorplanning
 
Computer Aided Design: Layout Compaction
Computer Aided Design: Layout CompactionComputer Aided Design: Layout Compaction
Computer Aided Design: Layout Compaction
 
Computer Aided Design: Global Routing
Computer Aided Design:  Global RoutingComputer Aided Design:  Global Routing
Computer Aided Design: Global Routing
 
Cmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technologyCmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technology
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
All opam assignment2_main
All opam assignment2_mainAll opam assignment2_main
All opam assignment2_main
 
MOSFET Small signal model
MOSFET Small signal modelMOSFET Small signal model
MOSFET Small signal model
 
twin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCADtwin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCAD
 

Recently uploaded

Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
Albert Hoitingh
 
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdfObservability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
Paige Cruz
 
Removing Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software FuzzingRemoving Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software Fuzzing
Aftab Hussain
 
Climate Impact of Software Testing at Nordic Testing Days
Climate Impact of Software Testing at Nordic Testing DaysClimate Impact of Software Testing at Nordic Testing Days
Climate Impact of Software Testing at Nordic Testing Days
Kari Kakkonen
 
20 Comprehensive Checklist of Designing and Developing a Website
20 Comprehensive Checklist of Designing and Developing a Website20 Comprehensive Checklist of Designing and Developing a Website
20 Comprehensive Checklist of Designing and Developing a Website
Pixlogix Infotech
 
Data structures and Algorithms in Python.pdf
Data structures and Algorithms in Python.pdfData structures and Algorithms in Python.pdf
Data structures and Algorithms in Python.pdf
TIPNGVN2
 
PCI PIN Basics Webinar from the Controlcase Team
PCI PIN Basics Webinar from the Controlcase TeamPCI PIN Basics Webinar from the Controlcase Team
PCI PIN Basics Webinar from the Controlcase Team
ControlCase
 
Let's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with Slack
Let's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with SlackLet's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with Slack
Let's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with Slack
shyamraj55
 
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
SOFTTECHHUB
 
Pushing the limits of ePRTC: 100ns holdover for 100 days
Pushing the limits of ePRTC: 100ns holdover for 100 daysPushing the limits of ePRTC: 100ns holdover for 100 days
Pushing the limits of ePRTC: 100ns holdover for 100 days
Adtran
 
Monitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR EventsMonitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR Events
Ana-Maria Mihalceanu
 
Alt. GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using ...
Alt. GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using ...Alt. GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using ...
Alt. GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using ...
James Anderson
 
Artificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopmentArtificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopment
Octavian Nadolu
 
20240605 QFM017 Machine Intelligence Reading List May 2024
20240605 QFM017 Machine Intelligence Reading List May 202420240605 QFM017 Machine Intelligence Reading List May 2024
20240605 QFM017 Machine Intelligence Reading List May 2024
Matthew Sinclair
 
How to use Firebase Data Connect For Flutter
How to use Firebase Data Connect For FlutterHow to use Firebase Data Connect For Flutter
How to use Firebase Data Connect For Flutter
Daiki Mogmet Ito
 
“Building and Scaling AI Applications with the Nx AI Manager,” a Presentation...
“Building and Scaling AI Applications with the Nx AI Manager,” a Presentation...“Building and Scaling AI Applications with the Nx AI Manager,” a Presentation...
“Building and Scaling AI Applications with the Nx AI Manager,” a Presentation...
Edge AI and Vision Alliance
 
UiPath Test Automation using UiPath Test Suite series, part 5
UiPath Test Automation using UiPath Test Suite series, part 5UiPath Test Automation using UiPath Test Suite series, part 5
UiPath Test Automation using UiPath Test Suite series, part 5
DianaGray10
 
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
Neo4j
 
RESUME BUILDER APPLICATION Project for students
RESUME BUILDER APPLICATION Project for studentsRESUME BUILDER APPLICATION Project for students
RESUME BUILDER APPLICATION Project for students
KAMESHS29
 
Introducing Milvus Lite: Easy-to-Install, Easy-to-Use vector database for you...
Introducing Milvus Lite: Easy-to-Install, Easy-to-Use vector database for you...Introducing Milvus Lite: Easy-to-Install, Easy-to-Use vector database for you...
Introducing Milvus Lite: Easy-to-Install, Easy-to-Use vector database for you...
Zilliz
 

Recently uploaded (20)

Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
 
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdfObservability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
 
Removing Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software FuzzingRemoving Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software Fuzzing
 
Climate Impact of Software Testing at Nordic Testing Days
Climate Impact of Software Testing at Nordic Testing DaysClimate Impact of Software Testing at Nordic Testing Days
Climate Impact of Software Testing at Nordic Testing Days
 
20 Comprehensive Checklist of Designing and Developing a Website
20 Comprehensive Checklist of Designing and Developing a Website20 Comprehensive Checklist of Designing and Developing a Website
20 Comprehensive Checklist of Designing and Developing a Website
 
Data structures and Algorithms in Python.pdf
Data structures and Algorithms in Python.pdfData structures and Algorithms in Python.pdf
Data structures and Algorithms in Python.pdf
 
PCI PIN Basics Webinar from the Controlcase Team
PCI PIN Basics Webinar from the Controlcase TeamPCI PIN Basics Webinar from the Controlcase Team
PCI PIN Basics Webinar from the Controlcase Team
 
Let's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with Slack
Let's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with SlackLet's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with Slack
Let's Integrate MuleSoft RPA, COMPOSER, APM with AWS IDP along with Slack
 
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
 
Pushing the limits of ePRTC: 100ns holdover for 100 days
Pushing the limits of ePRTC: 100ns holdover for 100 daysPushing the limits of ePRTC: 100ns holdover for 100 days
Pushing the limits of ePRTC: 100ns holdover for 100 days
 
Monitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR EventsMonitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR Events
 
Alt. GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using ...
Alt. GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using ...Alt. GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using ...
Alt. GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using ...
 
Artificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopmentArtificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopment
 
20240605 QFM017 Machine Intelligence Reading List May 2024
20240605 QFM017 Machine Intelligence Reading List May 202420240605 QFM017 Machine Intelligence Reading List May 2024
20240605 QFM017 Machine Intelligence Reading List May 2024
 
How to use Firebase Data Connect For Flutter
How to use Firebase Data Connect For FlutterHow to use Firebase Data Connect For Flutter
How to use Firebase Data Connect For Flutter
 
“Building and Scaling AI Applications with the Nx AI Manager,” a Presentation...
“Building and Scaling AI Applications with the Nx AI Manager,” a Presentation...“Building and Scaling AI Applications with the Nx AI Manager,” a Presentation...
“Building and Scaling AI Applications with the Nx AI Manager,” a Presentation...
 
UiPath Test Automation using UiPath Test Suite series, part 5
UiPath Test Automation using UiPath Test Suite series, part 5UiPath Test Automation using UiPath Test Suite series, part 5
UiPath Test Automation using UiPath Test Suite series, part 5
 
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
 
RESUME BUILDER APPLICATION Project for students
RESUME BUILDER APPLICATION Project for studentsRESUME BUILDER APPLICATION Project for students
RESUME BUILDER APPLICATION Project for students
 
Introducing Milvus Lite: Easy-to-Install, Easy-to-Use vector database for you...
Introducing Milvus Lite: Easy-to-Install, Easy-to-Use vector database for you...Introducing Milvus Lite: Easy-to-Install, Easy-to-Use vector database for you...
Introducing Milvus Lite: Easy-to-Install, Easy-to-Use vector database for you...
 

floor planning

  • 2. Outlines  Introduction  Efficacy  Merits  Input/Output  Floorplanning Problem  Challenges  Floorplanning Representations and Approaches  Floorplanning Model  Algorithms  Assignment  Conclusion
  • 3. Introduction  Floorplanning is an essential element of hierarchical design flows, especially for large SoC(System On Chip) designs. A typical SoC could include hundreds of RAMs, soft and hard IP(Intellectual property), analog blocks, and multiple power domains.  A hierarchical methodology that extends the capacity of design-automation tools, improves tool runtimes, and mitigates overall design risk by minimizing last minute design changes
  • 4. Floorplanning  block placement  Pin assignment  Design partitioning  Time budgeting  Power and clock planning
  • 5. Efficacy  Floorplanning is considered when the Design has not met timing or does not meet timing consistently  Critical logic to Improve performance  Reduce routing congestion  Improve module-level performance and Area  Improve Implementation Run time and consistency with partitions
  • 6. Merits  Eliminate Guess work  Minimize the impact of surprises in chip assembly  Reduce the risks associated with Hierarchical Flows and Shorten the time to design closure  Timing  Congestion  More Flexibility in Design layout
  • 7. Floorplanning phase  Input A set of blocks with constraints on area, shapes, relative positions, Constraints on chip area and aspect ratio, Netlist.  Output Shapes, Locations, Pin positions of the blocks  Objective Functions Performance, chip area, and wire length
  • 8. Floorplanning Problem The floorplanning problem is to plan the positions and shapes of the modules at the beginning of the design cycle to optimize the circuit performance:  chip area  total wirelength  delay of critical path  routability  others, e.g., noise, heat dissipation, etc.
  • 9. Floorplanning Challenges  Bad Input/output Pad and Macro placement  Inaccurate Timing ,Area and Power estimation  Inadequate Region shaping , Partitioning and Pin Assignment
  • 10. Floorplanning strategies  Floorplanning must take into account blocks of varying function, size, shape.  Must design:  space allocation  signal routing  power supply routing  clock distribution
  • 11. Purposes of Floorplanning  Early in design:  Prepare a floorplan to budget area, wire area/delay.Tradeoffs between blocks can be negotiated.  Late in design:  Make sure the pieces fit together as planned.  Implement the global layout.
  • 12. Floorplanning: Why Important?  Early stage of physical design  Determines the location of large blocks  detailed placement easier (divide and conquer!)  Estimates of area, delay, power  important design decisions  Impact on subsequent design steps (e.g., routing, heat dissipation analysis and optimization)
  • 13. Floorplanning tips • Develop a wiring plan. Think about how layers will be used to distribute important wires. • Sweep small components into larger blocks. A floorplan with a single NAND gate in the middle will be hard to work with. • Design wiring that looks simple. If it looks complicated, it is complicated. • Draw separate wiring plans for power and clocking. These are important design tasks which should be tackled early.
  • 14. Representations and Approaches  Two popular approaches to floorplan 1. Simulated annealing 2. Analytical formulation  Floorplan representations 1. Normalized Polish expression 2. B*-tree 3. Sequence Pair 4. Polar Graph
  • 15. Floorplanning Model 1. Slicing floorplans 2. Non-slicing floorplans  Slicing Tree  A binary tree that models a slicing structure.  Each node represents a vertical cut line (V), or a horizontal cut line (H).  A third kind of node called Wheel (W) appears for non sliceable floorplans
  • 16. Floorplanning Model (Cont) A Non-Slicing FloorplanSlicing Floorplan and its Slicing Tree
  • 17. Floorplanning Algorithms  Components  “Placeholder” representation  Usually in the form of a tree  Slicing class: Polish expression  Non-slicing class: O-tree, Sequence Pair, etc.  Just defines the relative position of modules  Perturbation  Going from one floorplan to another  Usually done using Simulated Annealing  Floorplan sizing  Choose the best shape for each module to minimize area  Slicing: polynomial, bottom-up algorithm  Non-slicing: Use mathematical programming (exact solution)  Cost function  Area, wire-length, ...
  • 18. Classification of Algorithms  Simulated Annealing  Constraint Based methods  (Integer) Linear Programming Methods  Rectangular Dualization Based Methods  Hierarchical Tree Based Methods  Timing Driven Floorplanning Algorithms
  • 19. Simulated Annealing  In this process, a material is first heated up to a temperature that allow all its molecules to move freely around and is then cooled down very slowly.  Perform computation that analogous to physical process.  The energy corresponds to the cost function  Molecular movement corresponds to a sequence of moves in the set of feasible solution  Temperature corresponds to a control parameter T which control the acceptance probability for a move i.e. A good move
  • 20. Wong-Liu Floorplanning Algorithm  Uses simulated annealing  Normalized Polish expressions represent floorplans  Cost function:  cost = area + total WireLength  Floorplan sizing is used to determine area  After floorplan sizing, the exact location of each module is known, hence wire-length can be calculated
  • 21. Wong-Liu Floorplanning Algorithm (cont.)  Moves:  OP1: Exchange two operands that have no other operands in between  OP2: Complement a series of operators between two operands  OP3: Exchange adjacent operand and operator if the resulting expression still a normalized Polish exp. OP1OP1OP1OP1 OP1OP1OP1OP1 OP1OP1OP1OP1 12 | 4 – 3 | 12 | 3 – 4 | 12 - 3 – 4 | 12 - 3 4 - |
  • 22. Assignment  What are timing failure?  What are the critical hierarchical Block and Risk?  Are changes/moves to the Floorplan or critical logic going to be sufficient to meet timing?  Does anything else need to be Floorplaned?
  • 23. Conclusion  Floorplanning is the foundation of a quality IC implementation. The decisions made regarding IO pad placement, macro placement, partitioning, pin assignment, and power planning ripple through the place-and-route flow. Designers need solutions that can handle extremely large data sets, design variability and complexity, in addition to enabling fast, high-quality floorplanning.
  • 24. Q & A  THANK YOU