SlideShare a Scribd company logo
LAYOUT EXTRACTION
AGENDA
• Digital Design Flow
• Basics of Layout
• About Layout Extractor
• Tools used
• Steps to Extract layout
5-Jul-14 Medha.chhillar@gmail.com 2
Digital Design Flow
5-Jul-14 Medha.chhillar@gmail.com 3
Design Analysis
Design Specification
Synthesis
Design Implementation using HDL
Simulation
Timing Analysis
Place & Route
Extraction
Verification
Verilog/
VHDL
Library
Std., Cell.
Library
Look up
Table for
timing
Tech file
For layout
values
Tech file
For RC
Parasite
extraction
Basics of Layout
• Layout can be very time consuming
– Design gates to fit together nicely
– Build a library of standard cells
– Must follow a technology rule
• Standard cell design methodology
– VDD and GND should abut (standard height)
– Adjacent gates should satisfy design rules
– nMOS at bottom and pMOS at top
– All gates include well and substrate contacts
5-Jul-14 Medha.chhillar@gmail.com 4
What Are Layout Extractors??
• Once the layout is made, there always is parasitic
capacitances and resistances associated with the
design.
• This is because of the compact layouts to make the
chips smaller. More you make compact layout more
will it introduce these parasitic components.
• These interferes in the functioning and performance of
the circuit in terms of timing, speed and power
consumption.
5-Jul-14 Medha.chhillar@gmail.com 5
Contd…
• Examine the inter-relationship of mask layers
to infer the existence of transistors and other
components
• Related to Design Rule Checkers
– Design-rule Verification
• Some form of layout extraction is usually done
to create data for back annotation
5-Jul-14 Medha.chhillar@gmail.com 6
Tools used for Extraction
• FastCap, FastHenry
• Star-RCXT
• QRC
• Calibre xACT3D
etc…
5-Jul-14 Medha.chhillar@gmail.com 7
Steps to Extract a Layout
• Create Layout Cellview
• Design Rule Checking
• Layout Parameter Extraction
• Layout vs. Schematic Comparison
5-Jul-14
Courtesy:http://www.egr.msu.edu/classes/ece410/mason/files/TutorialB.pdf
8
Step1: Create Layout Cellview
From the schematic, we can draw the layout cellview
From the Library Manager window, Select File => New => Cellview
(Virtuoso is the main layout editor of Cadence design tools)
5-Jul-14 Medha.chhillar@gmail.com 9
Step2:Design Rule Checking
• After you have finished your layout, an automatic program will check
each and every polygon in your design against these design rules
and report violations. This process is called Design Rule Checking
(DRC) and MUST be done for every layout to ensure it will function
properly when fabricated.
• After the DRC is complete, the bottom line in the CIW(Command
Interpreter Window) will show “# Total errors found”
• The DRC will be successful when you see the results saying “0 Total
errors found”
5-Jul-14 Medha.chhillar@gmail.com 10
Step3: Layout Parameter
Extraction
• mask layout contains only physical data
• Extraction process identifies the devices from the layout and
generates a SPICE-like netlist and other files necessary to complete
the design process
• In the Virtuoso Layout Editing window select Verify => Extract
5-Jul-14 Medha.chhillar@gmail.com 11
Extracted Layout
Before After
5-Jul-14 Medha.chhillar@gmail.com 12
Conclusion
5-Jul-14 Medha.chhillar@gmail.com 13
Data Preparation
Draw Schematic
(Virtuoso)
Logic Simulation
(Verilog-XL)
Pre-layout Simulation
(Spectre)
Layout
(Virtuoso)
Design Rule Check
(Calibre)
Layout Versus
Schematic Check
(Calibre)
Extraction
(Calibre)
Post layout simulation
(HSPICE)
THANK YOU
5-Jul-14 Medha.chhillar@gmail.com 14

More Related Content

What's hot

Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdf
Ahmed Abdelazeem
 
Floorplanning.pdf
Floorplanning.pdfFloorplanning.pdf
Floorplanning.pdf
Ahmed Abdelazeem
 
Physical design
Physical design Physical design
Physical design
Manjunath hosmani
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI DesignTeam-VLSI-ITMU
 
EMIR.pdf
EMIR.pdfEMIR.pdf
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
Sushil Kundu
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
shaik sharief
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
Murali Rai
 
Routing.pdf
Routing.pdfRouting.pdf
Routing.pdf
Ahmed Abdelazeem
 
Crosstalk.pdf
Crosstalk.pdfCrosstalk.pdf
Crosstalk.pdf
Ahmed Abdelazeem
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
Kishore Sai Addanki
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flowAnish Gupta
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
SIVA NAGENDRA REDDY
 
Pd flow i
Pd flow iPd flow i
Pd flow i
Alok Kumar
 
Physical design
Physical design Physical design
Physical design
Mantra VLSI
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
VLSI SYSTEM Design
 
Asic design flow
Asic design flowAsic design flow
Asic design flow
yogeshwaran k
 
VLSI routing
VLSI routingVLSI routing
VLSI routing
Naveen Kumar
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Asic design
Asic designAsic design

What's hot (20)

Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdf
 
Floorplanning.pdf
Floorplanning.pdfFloorplanning.pdf
Floorplanning.pdf
 
Physical design
Physical design Physical design
Physical design
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
EMIR.pdf
EMIR.pdfEMIR.pdf
EMIR.pdf
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Routing.pdf
Routing.pdfRouting.pdf
Routing.pdf
 
Crosstalk.pdf
Crosstalk.pdfCrosstalk.pdf
Crosstalk.pdf
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
Physical design
Physical design Physical design
Physical design
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Asic design flow
Asic design flowAsic design flow
Asic design flow
 
VLSI routing
VLSI routingVLSI routing
VLSI routing
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Asic design
Asic designAsic design
Asic design
 

Viewers also liked

Reduced ordered binary decision diagram
Reduced ordered binary decision diagramReduced ordered binary decision diagram
Reduced ordered binary decision diagram
Team-VLSI-ITMU
 
RTX Kernal
RTX KernalRTX Kernal
RTX Kernal
Team-VLSI-ITMU
 
Computer Aided Design: Global Routing
Computer Aided Design:  Global RoutingComputer Aided Design:  Global Routing
Computer Aided Design: Global Routing
Team-VLSI-ITMU
 
CAD: Floorplanning
CAD: Floorplanning CAD: Floorplanning
CAD: Floorplanning
Team-VLSI-ITMU
 
Computer Aided Design: Layout Compaction
Computer Aided Design: Layout CompactionComputer Aided Design: Layout Compaction
Computer Aided Design: Layout CompactionTeam-VLSI-ITMU
 
CAD: introduction to floorplanning
CAD:  introduction to floorplanningCAD:  introduction to floorplanning
CAD: introduction to floorplanning
Team-VLSI-ITMU
 
Nmos design using synopsys TCAD tool
Nmos design using synopsys TCAD toolNmos design using synopsys TCAD tool
Nmos design using synopsys TCAD tool
Team-VLSI-ITMU
 
twin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCADtwin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCAD
Team-VLSI-ITMU
 

Viewers also liked (11)

Reduced ordered binary decision diagram
Reduced ordered binary decision diagramReduced ordered binary decision diagram
Reduced ordered binary decision diagram
 
scripting in Python
scripting in Pythonscripting in Python
scripting in Python
 
RTX Kernal
RTX KernalRTX Kernal
RTX Kernal
 
Computer Aided Design: Global Routing
Computer Aided Design:  Global RoutingComputer Aided Design:  Global Routing
Computer Aided Design: Global Routing
 
CAD: Floorplanning
CAD: Floorplanning CAD: Floorplanning
CAD: Floorplanning
 
Computer Aided Design: Layout Compaction
Computer Aided Design: Layout CompactionComputer Aided Design: Layout Compaction
Computer Aided Design: Layout Compaction
 
CAD: introduction to floorplanning
CAD:  introduction to floorplanningCAD:  introduction to floorplanning
CAD: introduction to floorplanning
 
Ch 6 randomization
Ch 6 randomizationCh 6 randomization
Ch 6 randomization
 
CNTFET
CNTFETCNTFET
CNTFET
 
Nmos design using synopsys TCAD tool
Nmos design using synopsys TCAD toolNmos design using synopsys TCAD tool
Nmos design using synopsys TCAD tool
 
twin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCADtwin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCAD
 

Similar to CAD: Layout Extraction

Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated Circuit
SWINDONSilicon
 
B tech Projects,Final Year Projects,Engineering Projects
B tech Projects,Final Year Projects,Engineering ProjectsB tech Projects,Final Year Projects,Engineering Projects
B tech Projects,Final Year Projects,Engineering Projects
Technogroovy
 
Sdlc
Sdlc Sdlc
System design techniques and networks
System design techniques and networksSystem design techniques and networks
System design techniques and networks
RAMPRAKASHT1
 
ASIC design verification
ASIC design verificationASIC design verification
ASIC design verification
Gireesh Kallihal
 
The art of architecture
The art of architectureThe art of architecture
The art of architecture
ADDQ
 
Unit 1 INTRODUCTION (COMPUTER AIDED DESIGN AND MANUFACTURING )
Unit 1 INTRODUCTION (COMPUTER AIDED DESIGN AND MANUFACTURING )Unit 1 INTRODUCTION (COMPUTER AIDED DESIGN AND MANUFACTURING )
Unit 1 INTRODUCTION (COMPUTER AIDED DESIGN AND MANUFACTURING )
ravis205084
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
Usha Mehta
 
SKEL 4273 CAD with HDL Topic 1
SKEL 4273 CAD with HDL Topic 1SKEL 4273 CAD with HDL Topic 1
SKEL 4273 CAD with HDL Topic 1
alhadi81
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
Sudhanshu Janwadkar
 
Session2.ppt
Session2.pptSession2.ppt
Session2.ppt
ElieNGOMSEU
 
Session2.pptx.ppt
Session2.pptx.pptSession2.pptx.ppt
Session2.pptx.ppt
AbdugafforAbduganiye
 
SDLC.PPT
SDLC.PPTSDLC.PPT
SDLC.PPT
SravyaPreethi1
 
Session2.ppt
Session2.pptSession2.ppt
Session2.ppt
Mehuk1
 
Session2.ppt
Session2.pptSession2.ppt
Session2.ppt
AqeelAbbas94
 
presentation ofSoftware Development Life Cycle (SDLC)
presentation ofSoftware Development Life Cycle (SDLC)presentation ofSoftware Development Life Cycle (SDLC)
presentation ofSoftware Development Life Cycle (SDLC)
EveryThing68
 

Similar to CAD: Layout Extraction (20)

Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated Circuit
 
B tech Projects,Final Year Projects,Engineering Projects
B tech Projects,Final Year Projects,Engineering ProjectsB tech Projects,Final Year Projects,Engineering Projects
B tech Projects,Final Year Projects,Engineering Projects
 
Sdlc
Sdlc Sdlc
Sdlc
 
System design techniques and networks
System design techniques and networksSystem design techniques and networks
System design techniques and networks
 
ASIC design verification
ASIC design verificationASIC design verification
ASIC design verification
 
The art of architecture
The art of architectureThe art of architecture
The art of architecture
 
Unit 1 INTRODUCTION (COMPUTER AIDED DESIGN AND MANUFACTURING )
Unit 1 INTRODUCTION (COMPUTER AIDED DESIGN AND MANUFACTURING )Unit 1 INTRODUCTION (COMPUTER AIDED DESIGN AND MANUFACTURING )
Unit 1 INTRODUCTION (COMPUTER AIDED DESIGN AND MANUFACTURING )
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
Lec13
Lec13Lec13
Lec13
 
SKEL 4273 CAD with HDL Topic 1
SKEL 4273 CAD with HDL Topic 1SKEL 4273 CAD with HDL Topic 1
SKEL 4273 CAD with HDL Topic 1
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
 
Session2.ppt
Session2.pptSession2.ppt
Session2.ppt
 
ddd.ppt
ddd.pptddd.ppt
ddd.ppt
 
Session2.pptx.ppt
Session2.pptx.pptSession2.pptx.ppt
Session2.pptx.ppt
 
Session2 (1).ppt
Session2 (1).pptSession2 (1).ppt
Session2 (1).ppt
 
SDLC.PPT
SDLC.PPTSDLC.PPT
SDLC.PPT
 
Session2.ppt
Session2.pptSession2.ppt
Session2.ppt
 
Session2.ppt
Session2.pptSession2.ppt
Session2.ppt
 
Session2.ppt
Session2.pptSession2.ppt
Session2.ppt
 
presentation ofSoftware Development Life Cycle (SDLC)
presentation ofSoftware Development Life Cycle (SDLC)presentation ofSoftware Development Life Cycle (SDLC)
presentation ofSoftware Development Life Cycle (SDLC)
 

More from Team-VLSI-ITMU

Intermediate Fabrics
Intermediate FabricsIntermediate Fabrics
Intermediate Fabrics
Team-VLSI-ITMU
 
Linux Basics
Linux BasicsLinux Basics
Linux Basics
Team-VLSI-ITMU
 
Cmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technologyCmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technology
Team-VLSI-ITMU
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
Team-VLSI-ITMU
 
All opam assignment2_main
All opam assignment2_mainAll opam assignment2_main
All opam assignment2_main
Team-VLSI-ITMU
 
MOSFET Small signal model
MOSFET Small signal modelMOSFET Small signal model
MOSFET Small signal model
Team-VLSI-ITMU
 

More from Team-VLSI-ITMU (7)

Intermediate Fabrics
Intermediate FabricsIntermediate Fabrics
Intermediate Fabrics
 
Linux Basics
Linux BasicsLinux Basics
Linux Basics
 
floor planning
floor planningfloor planning
floor planning
 
Cmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technologyCmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technology
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
All opam assignment2_main
All opam assignment2_mainAll opam assignment2_main
All opam assignment2_main
 
MOSFET Small signal model
MOSFET Small signal modelMOSFET Small signal model
MOSFET Small signal model
 

Recently uploaded

一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
ydteq
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
Robbie Edward Sayers
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
SamSarthak3
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
obonagu
 
Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
manasideore6
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
Amil Baba Dawood bangali
 
space technology lecture notes on satellite
space technology lecture notes on satellitespace technology lecture notes on satellite
space technology lecture notes on satellite
ongomchris
 
English lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdfEnglish lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdf
BrazilAccount1
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
Osamah Alsalih
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Sreedhar Chowdam
 
AP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specificAP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specific
BrazilAccount1
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Teleport Manpower Consultant
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
WENKENLI1
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
Pratik Pawar
 
ML for identifying fraud using open blockchain data.pptx
ML for identifying fraud using open blockchain data.pptxML for identifying fraud using open blockchain data.pptx
ML for identifying fraud using open blockchain data.pptx
Vijay Dialani, PhD
 
Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
AmarGB2
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
FluxPrime1
 
ethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.pptethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.ppt
Jayaprasanna4
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
thanhdowork
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwood
seandesed
 

Recently uploaded (20)

一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
 
Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
 
space technology lecture notes on satellite
space technology lecture notes on satellitespace technology lecture notes on satellite
space technology lecture notes on satellite
 
English lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdfEnglish lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdf
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
 
AP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specificAP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specific
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
 
ML for identifying fraud using open blockchain data.pptx
ML for identifying fraud using open blockchain data.pptxML for identifying fraud using open blockchain data.pptx
ML for identifying fraud using open blockchain data.pptx
 
Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
 
ethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.pptethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.ppt
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwood
 

CAD: Layout Extraction

  • 2. AGENDA • Digital Design Flow • Basics of Layout • About Layout Extractor • Tools used • Steps to Extract layout 5-Jul-14 Medha.chhillar@gmail.com 2
  • 3. Digital Design Flow 5-Jul-14 Medha.chhillar@gmail.com 3 Design Analysis Design Specification Synthesis Design Implementation using HDL Simulation Timing Analysis Place & Route Extraction Verification Verilog/ VHDL Library Std., Cell. Library Look up Table for timing Tech file For layout values Tech file For RC Parasite extraction
  • 4. Basics of Layout • Layout can be very time consuming – Design gates to fit together nicely – Build a library of standard cells – Must follow a technology rule • Standard cell design methodology – VDD and GND should abut (standard height) – Adjacent gates should satisfy design rules – nMOS at bottom and pMOS at top – All gates include well and substrate contacts 5-Jul-14 Medha.chhillar@gmail.com 4
  • 5. What Are Layout Extractors?? • Once the layout is made, there always is parasitic capacitances and resistances associated with the design. • This is because of the compact layouts to make the chips smaller. More you make compact layout more will it introduce these parasitic components. • These interferes in the functioning and performance of the circuit in terms of timing, speed and power consumption. 5-Jul-14 Medha.chhillar@gmail.com 5
  • 6. Contd… • Examine the inter-relationship of mask layers to infer the existence of transistors and other components • Related to Design Rule Checkers – Design-rule Verification • Some form of layout extraction is usually done to create data for back annotation 5-Jul-14 Medha.chhillar@gmail.com 6
  • 7. Tools used for Extraction • FastCap, FastHenry • Star-RCXT • QRC • Calibre xACT3D etc… 5-Jul-14 Medha.chhillar@gmail.com 7
  • 8. Steps to Extract a Layout • Create Layout Cellview • Design Rule Checking • Layout Parameter Extraction • Layout vs. Schematic Comparison 5-Jul-14 Courtesy:http://www.egr.msu.edu/classes/ece410/mason/files/TutorialB.pdf 8
  • 9. Step1: Create Layout Cellview From the schematic, we can draw the layout cellview From the Library Manager window, Select File => New => Cellview (Virtuoso is the main layout editor of Cadence design tools) 5-Jul-14 Medha.chhillar@gmail.com 9
  • 10. Step2:Design Rule Checking • After you have finished your layout, an automatic program will check each and every polygon in your design against these design rules and report violations. This process is called Design Rule Checking (DRC) and MUST be done for every layout to ensure it will function properly when fabricated. • After the DRC is complete, the bottom line in the CIW(Command Interpreter Window) will show “# Total errors found” • The DRC will be successful when you see the results saying “0 Total errors found” 5-Jul-14 Medha.chhillar@gmail.com 10
  • 11. Step3: Layout Parameter Extraction • mask layout contains only physical data • Extraction process identifies the devices from the layout and generates a SPICE-like netlist and other files necessary to complete the design process • In the Virtuoso Layout Editing window select Verify => Extract 5-Jul-14 Medha.chhillar@gmail.com 11
  • 12. Extracted Layout Before After 5-Jul-14 Medha.chhillar@gmail.com 12
  • 13. Conclusion 5-Jul-14 Medha.chhillar@gmail.com 13 Data Preparation Draw Schematic (Virtuoso) Logic Simulation (Verilog-XL) Pre-layout Simulation (Spectre) Layout (Virtuoso) Design Rule Check (Calibre) Layout Versus Schematic Check (Calibre) Extraction (Calibre) Post layout simulation (HSPICE)

Editor's Notes

  1. Back Annotation: Once a layout has been constructed and there is isomorphism between schematic n/w and layout n/w, one can correlate extracted capacitances from the layout with the schematic and perform simulation or timing analysis to verify performance. This is done by moving the capacitance that appears on a layout node to the corresponding schematic node while accounting for existing capacitance on the schematic node. For instance, the schematic may already have source-drain and gate load due to gates connected to the node and only routing capacitance is required to be added. This operation is called “Back-Annotation”.