SlideShare a Scribd company logo
1 of 4
Download to read offline
ACEEE International Journal on Communication, Vol 1, No. 1, Jan 2010




        A High Speed Pipelined Dynamic Circuit
      Implementation Using Modified TSPC Logic
       Design Style With Improved Performance
                                               Abhijit Asati and Chandrashekhar2
                             1
                             Birla Institute of Technology and Science, EEE Group, Pilani, India
                                                Email: abhijitmicro@gmail.com
                    2
                      Central Electronics Engineering Research Institute, IC Design Group, Pilani, India
                                                 Email: chandra@ceeri.ernet.in


Abstract— The high-speed dynamic True Single Phase                             clock skew problem and increases the speed [1], [2],
Clock (TSPC) logic design style offer fully pipelined logic                 [8]. The TSPC Logic style shows a robust cell
circuits using only one clock signal, which makes clock                     characteristic and therefore the standard cell
distribution simple and compact. The conversion of simple                   implementation is possible. The TSPC-cell consists of
logic gates to pipelined TSPC logic gates increases transistor
count since standard cell implementation for a logic function
                                                                            one N-block and one P-block each driven by single clock
uses both N-block as well as P-block to remove transparency                 signal (φ) [1], [2], [5], [7]. In standard TSPC logic style
between pipelined stages, despite the fact that logic                       the implementation of simple gates like AND, OR, XOR
functions are only implemented with N-block. In this paper                  increases the transistor count since each logic cell
we present a technique in which a TSPC logic cell are                       implementation use both N-block together with P-block
implemented both as cell_N and cell_P cells, where each cell                to remove transparency between the pipelined stages,
block is performing a logic function along with only one                    while logic function is implemented only with N-block
type latching operation. Such an implementation allows a                    [3], [5]; therefore it makes the P-block redundant, since it
systematic approach for converting un-pipelined circuits to
                                                                            perform no logic function other than latching. Although
fully pipelined circuits. The alternate cell_N and cell_P
behaves as dynamic register and removes transparency                        logic merging is possible [1], [2] in standard TSPC cell
between pipelined stages. The appropriate numbers of                        by implementing logic functions with both the N-block
dynamic registers are used to equalize stage delays for all                 and P-block, but such a logic merging is inefficient, since
paths and to remove transparency between pipelined stages.                  in practical cases inputs to a gate may appear after
The modified TSPC implementation shows almost 40% to                        different cycle delays, which may not allow effective
50% reduction in transistor counts and almost 50%                           logic merging. The implementation complexity also
reduction in clock cycles as compared to worst-case                         increases due to reduced freedom for logic merging; since
standard TSPC implementation. The worst-case standard                       it requires the careful and tedious mapping of logic
TSPC implementation assumes that no logic merging is
                                                                            functions with both the blocks. The worst-case standard
possible with P-block, since input to any cell appears after
different cycle delays. The modified TSPC logic circuit                     TSPC logic implementation assumes that each cell logic
implementation preserves all the advantages of standard                     function is implemented with N-block only, while P-
TSPC logic implementation and in addition offers the                        block do not implement any logic but provide dynamic
reduced circuit complexity due to reduced transistor count                  latching to avoid the transparency between pipelined
per logic cell. The proposed logic design style reduces layout              stages (i.e. no logic merging possible). Furthermore, the
area and average power consumption as compared to the                       pipelined circuit requires the stage registers (REG) to
standard TSPC pipelined circuit implementation.                             equalize the stage delays for all paths, which are
Index Terms—TSPC, dynamic, pipelined, high speed,                           implemented as one LATCH_N and LATCH_P in
transistor count, circuit complexity
                                                                            cascade. In standard TSPC logic cells if logic merging is
                                                                            not efficient, it increases pipelined stages that need the
                       I. INTRODUCTION                                      extra register operation, which increases the transistor
   Conventional static CMOS circuit implementation                          count [3], [6]. In this paper we present a technique in
cannot fulfill the requirements of high throughput                          which a TSPC logic cells are implemented as cell_N and
pipelined digital designs therefore dynamic logic circuits                  cell_P, where each cell is performing a logic function
can be used to speed-up digital designs. The high-speed                     along with only one type latching operation (either N-
dynamic True Single Phase Clock (TSPC) logic design                         latch or P-latch). The transparency between the pipelined
style offer fully pipelined logic circuits using only one                   stages can be removed by alternate cell_N and cell_P
clock signal, which makes clock distribution simple and                     assignment. Since each cell performs a logic operation
compact [1], [2], [8]. The simple clock distribution of the                 along with latching, such an implementation will reduce
TSPC circuits reduces the layout area for clock lines, no                   the number of redundant transistors due to efficient
                                                                            merging of logic.
  Footnotes: 8-point Times New Roman font; copyright credit, project
number, corresponding author, etc.                                             The circuit complexity gets reduced due to decrease in
                                                                            transistor count per logic cell. Since each cell performs
                                                                            the logic function, therefore the burden of stage register
                                                                            operations to equalize the stage delays for all paths gets

                                                                       24
© 2010 ACEEE
DOI: 01.ijcom.01.01.06
ACEEE International Journal on Communication, Vol 1, No. 1, Jan 2010


reduced by almost 50% as compared to worst-case
standard TSPC logic implementation. The proposed
technique reduces the latency and increases the
throughput of digital pipelined circuit implementation
since the number of clock cycle gets reduced by almost
50%. The proposed logic design style offers advantages
like pipelining, low average power, low latency and high
throughput. A 3-bit pipelined adder is designed using
modified TSPC logic style and compared with standard
TSPC       logic     circuit   implementation.    Logic
implementation using the proposed logic design style is
described in Section II; circuit implementation is
discussed in Section III. Section IV shows the
comparison between standard TSPC and modified TSPC
and section V concludes the paper.

                    II.           LOGIC IMPLEMENTATION
   The proposed modified TSPC implementation allows
                                                                                                              (a) AND_N
the flexibility of implementing logic function with both
N-block as well as with P-block, hence converting the
simpler logic cells to pipelined logic cells shows large
reduction in the transistor count as compared to standard
TSPC logic design style. The simple logic cells like
AND, OR, XOR can be designed using both N-block
logic cell as well as P-block logic cell, thus the logic cells
produced for AND function will be AND_N & AND_P;
OR, XOR in similar way produces OR_N & OR_P,
XOR_N & XOR_P respectively. A simple AND2 gate
can be implemented in two different ways (AND2_N and
AND2_P) as shown in Figure 1. The operations of
AND_N and AND2_P are shown in table I. REG can be
split into LATCH_N and LATCH_P. The latching can be
performed by these two latches are shown in Figure 2.
These latches are used to equalize cycle delays, since the
alternate LATCH_N and LATCH_P form a dynamic                                                                  (b) AND_P
register REG [4]. Table II explains operation of both the
latches. For a pipelined circuit implementation the cell-N
and cell-P must be kept one after other in cascaded                                      TABLE II. OPERATIONS OF LATCH_N AND LATCH_P
manner with appropriate latching to equalize stage delays
for all paths as explained in section III. The operation of
                                                                                                          OPERATION OF LATCH_N
cell_N/cell_P and LATCH_N/LATCH_P are summarized
in table III.
                                                                                     A            φ            Y             Comment
                                                                                 0            1            0         N1 is weak ON
TABLE I.            OPERATION OF AND_N AND OPERATION OF AND_P
                                                                                 1            1            1         P1 strong ON
                                                                                 0            0            Latch     Dynamic latch
                          OPERATION OF AND_N                                     1            0            Latch     Dynamic latch

       A      B               φ      Y          Comments                                                  OPERATION OF LATCH_P
   0        0             0        0       Dynamic evaluation
   0        1             0        0       Dynamic evaluation
   1        0             0        0       Dynamic evaluation                            A            φ         Y              Comment
   1        1             0        1       Dynamic evaluation                        0            0         0          N2 is strong ON
   X        X             1        Latch   Dynamic latch                             1            0         1          P2 weak ON
                                                                                     0            1         Latch      Dynamic latch
                          OPERATION OF AND_P                                         1            1         Latch      Dynamic latch

       A        B             φ        Y        Comments
   0        0             1        0       Dynamic evaluation
   0        1             1        0       Dynamic evaluation




                                                                    25

© 2010 ACEEE
DOI: 01.ijcom.01.01.06
ACEEE International Journal on Communication, Vol 1, No. 1, Jan 2010


                  TABLE III. SUMMARY OF OPERATION                  therefore requires almost double transistor and 6-clock
                                                                   cycle delay for any applied input. The comparison of
           Cell Type          φ=1               φ=0                transistor counts in two different implementations is
          cell_N/       Dynamic         Dynamic
          LATCH_N        evaluation     latch                      shown in table IV. Both the circuit implementations were
          cell_P/       Dynamic         Dynamic                    verified using transistor level simulator (T-spice) from
          LATCH_P       latch
                                              P1
                                        evaluation                 Tanner Research Incorporation utilizing parameters of 0.5
                                                                   μm technology. The simulation result shows correct
                                                                   pipelined circuit behavior. The supply voltage VDD was
                                                                   kept at 3.3V.
                                             N1

                                                                                                       φ
                                                                        A                                                      S
                                                                                             XOR2_N
                                                                        B                                             XOR2_P
                                                                                                       φ
                                                                      Cin
                                                                                             LATCH_N                     φ

                                                                                             AND2_N                   OR3_P
                                             P2                                                    φ
                         LATCH_N                                                                                               Co
                                                                                             AND2_N
                                                                                                                         φ
                                                                                                   φ
                                            N2
                                                                                             AND2_N

                                                                                                   φ
                                                                                   Figure 3. 1-bit pipelined adder circuit



                                                                                        IV. COMPARISON
                                                                         The comparison of transistor counts for 3-bit
                                                                   pipelined adder implementation results utilizing standard
              III. CIRCUIT IMPLEMENTATION                          TSPC logic design style and modified TSPC logic design
                                                                   style is shown in Table IV. The comparison result shows
  The 1-bit pipelined adder circuit shown in Figure 3 has
                         LATCH_P                                   almost 46 to 47 % less transistor count for modified
                                                                   TSPC logic design style. The other advantage in modified
         Figure 2. LATCH_N and LATCH_P Cells (CLK=φ)               TSPC logic implementation is the reduction in number of
                                                                   clock cycles; the output is available after 3-clock cycle as
                                                                   compared to the 6-clock cycle required in standard TSPC
                                                                   implementation. The existence of the P block requires
been designed using XOR2, AND2 and OR3 gates, where
                                                                   PMOS devices need to be sized up to attain the same
the first stage is implemented in cell_N logic while
second stage is implemented as cell_P logic. A half cycle          gate’s performance; because of its low mobility (μp)
latch LATCH_N is used in parallel with cell_N logic to             devices as compared to NMOS devices (μn).
equalize stage delay, thus the circuit will take one clock
cycle to produce correct output. In the standard TSPC
implementation XOR2_N and XOR2_P are replaced by                                        IV. CONCLUSION
XOR2, LATCH_N is replaced by REG, AND_N is                             The paper presented a new modified true single-phase
replaced by AND2, and OR3_P is replaced by OR3                     clock logic design style to implement the pipelined circuit
therefore it takes 2 clock cycle to produce correct output.        for high-speed real time application. The modified
Comparison of transistor counts in two different                   implementation scheme reduces number of transistor
implementations is shown in table IV. Following the                count by 40% to 50% as compared to standard TSPC
hierarchical design approach, a 3-bit ripple pipelined             implementation.
adder can be designed using above 1-bit adder and
keeping latches at appropriate positions as shown in
Figure 4. The circuit takes three (cell_N+cell_P) delay;
since one (cell_N+cell_P) forms dynamic register (REG)
and takes one clock cycle to produce output therefore
output would be available after 3-clock cycle delay for
any applied input. The standard TSPC implementation
uses REG instead of LATCH_N or LATCH_P and
                                                              26

© 2010 ACEEE
DOI: 01.ijcom.01.01.06
ACEEE International Journal on Communication, Vol 1, No. 1, Jan 2010




                  B0          A0                         B1               A1                         B2                 A2
                                            φ                                            φ
                                                                                                                                         φ
    Ci              FA                           LATCH_N              LATCH_N                   LATCH_N             LATCH_N
              S          CO
                                                                                         φ
                                        φ                                                                                                φ
                                                 LATCH_P              LATCH_P                   LATCH_P             LATCH_P
                                   φ
             LATCH_N
                                                                                                                                         φ
                                                               FA                               LATCH_N             LATCH_N
                                   φ                    S            CO
              LATCH_P                                                                φ
                                                                                                                                         φ
                                                                                                                    LATCH_P
                                                                     φ                          LATCH_P
                                   φ             LATCH_N                             φ
             LATCH_N
                                                                                                                                     φ
                                                                     φ                                        FA
                                   φ             LATCH_P                                                  S        CO
              LATCH_P



              S0                                            S1                                       S2                 C2

                                                      Figure 4. 3-bit pipelined adder circuit



                                                      TABLE IV. COMPARISON TABLE
                               standard TSPC                                        Modified TSPC
               Cell       No of          No. of        Sub                 Cell Type     No of       No. of                  Sub
                                      transistor in    Total                             cells    transistor in              Total
               Type       cells
                                        each cell                                                   each cell
               XOR2           6            19           114          XOR2_N/XOR2_P         6           13                    78
               AND2           9            13           117          AND2_N/AND2_P          9           7                    63
                OR3           3            14           42            OR3_N/ OR3_P         3            8                    24
               REG            21           11           231         LATCH_N/LATCH_P        21           5                    105


   The proposed logic style offers almost 50% reduction                   [3] Pareira, J.A. Michell, and J.M Solana “ Fully pipelined
in the number of clock cycle therefore the pipelined logic                    TSPC Barrel shifter for High-Speed Applications,” IEEE J.
circuit can be designed to offer reduced latency and                          Solid-State Circuits, Vol. 30, pp. 686-690, June 1996.
                                                                          [4] Jiren Yuan and Christer Svensson, “High-speed CMOS
increased throughput as compared to standard TSPC
                                                                              Circuit Technique,” IEEE J. Solid-State Circuits, Vol. 24,
circuit implementation. The proposed modified TSPC                            pp. 62-69, February 1989.
logic design style is best suited for converting un-                      [5] S. Flügel, F. Grassert, M. Grothmann, M. Haase, P.
pipelined circuits to fully pipelined circuits with effective                 Nimsch,H. Ploog, D. Timmermann, A. Wassatsch “A
logic merging. The circuit complexity reduces due to                          Design Flow for 12.8 GBit/s Triple DES using Dynamic
reduced number of transistors per logic cell. The                             Logic and Standard Synthesis Tools,” SNUG Europe-2001.
proposed logic style implementation offers compact                        [6] Johnny Pihl “Design Automation with the TSPC Circuit
layout area and the reduced average power consumption                         Technique: A High-Performance Wave Digital Filter,”
for pipelined circuit implementations. Use of single clock                    IEEE Transactions on very large scale integration (VLSI)
                                                                              Systems, VOL. 8, NO. 4, pp. 456-459, August 2000
makes clock distribution simple and compact.
                                                                          [7] Yuan ji-ren, Ingemar Karlsson, Christer Svensson, “A True
                                                                              Single-Phase-Clock Dynamic CMOS Circuit Technique,”
                         REFERENCES                                           IEEE J. Solid-State Circuits, Vol. SC-22, NO. 5, pp. 899-
[1] Jan M. Rabaey, Anantha Chandrakasan, Borivose Nikolic,                    901, October 1987.
    “Digital Integrated Circuits,” Second Edition Prentice–Hall           [8] Seokjin Kim and Ramalingam Sridhar “Buffered Single-
    of India Private Limited, 2004.                                           phase Clocked Logic for High-speed CMOS Pipelined
[2] S.M. kang, Yusuf Leblebici, “CMOS Digital integrated                      Circuits,” 1997 IEEE International Symposium on Circuits
    Circuits, Analysis and Design,” Third edition McGrawhill,                 and Systems, pp.1901-1903, June 9-12,1997, Hong Kong
    2003.


                                                                     27

© 2010 ACEEE
DOI: 01.ijcom.01.01.06

More Related Content

What's hot

CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELL
CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELLCNFET BASED BASIC GATES AND A NOVEL FULLADDER CELL
CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELLVLSICS Design
 
Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...
Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...
Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...IDES Editor
 
OPTIMIZATION OF IP NETWORKS IN VARIOUS HYBRID IGP/MPLS ROUTING SCHEMES
OPTIMIZATION OF IP NETWORKS IN VARIOUS HYBRID IGP/MPLS ROUTING SCHEMESOPTIMIZATION OF IP NETWORKS IN VARIOUS HYBRID IGP/MPLS ROUTING SCHEMES
OPTIMIZATION OF IP NETWORKS IN VARIOUS HYBRID IGP/MPLS ROUTING SCHEMESEM Legacy
 
Iaetsd low power flip flops for vlsi applications
Iaetsd low power flip flops for vlsi applicationsIaetsd low power flip flops for vlsi applications
Iaetsd low power flip flops for vlsi applicationsIaetsd Iaetsd
 
A novel vedic divider based crypto-hardware for nanocomputing paradigm: An ex...
A novel vedic divider based crypto-hardware for nanocomputing paradigm: An ex...A novel vedic divider based crypto-hardware for nanocomputing paradigm: An ex...
A novel vedic divider based crypto-hardware for nanocomputing paradigm: An ex...VIT-AP University
 
04536342
0453634204536342
04536342fidan78
 
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...Iaetsd Iaetsd
 
Hawaii Swnt Ao
Hawaii Swnt AoHawaii Swnt Ao
Hawaii Swnt AoAntonini
 
Clock mesh sizing slides
Clock mesh sizing slidesClock mesh sizing slides
Clock mesh sizing slidesRajesh M
 
A NOVEL FULL ADDER CELL BASED ON CARBON NANOTUBE FIELD EFFECT TRANSISTORS
A NOVEL FULL ADDER CELL BASED ON CARBON NANOTUBE FIELD EFFECT TRANSISTORSA NOVEL FULL ADDER CELL BASED ON CARBON NANOTUBE FIELD EFFECT TRANSISTORS
A NOVEL FULL ADDER CELL BASED ON CARBON NANOTUBE FIELD EFFECT TRANSISTORSVLSICS Design
 
Towards the Performance Analysis of IEEE 802.11 in Multi-hop Ad-Hoc Networks
Towards the Performance Analysis of IEEE 802.11 in Multi-hop Ad-Hoc NetworksTowards the Performance Analysis of IEEE 802.11 in Multi-hop Ad-Hoc Networks
Towards the Performance Analysis of IEEE 802.11 in Multi-hop Ad-Hoc Networksambitlick
 
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann Method
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann MethodNumerical Simulation of Gaseous Microflows by Lattice Boltzmann Method
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann MethodIDES Editor
 
Implementation of switching controller for the internet router
Implementation of switching controller for the internet routerImplementation of switching controller for the internet router
Implementation of switching controller for the internet routerIAEME Publication
 
Fpga based artificial neural network
Fpga based artificial neural networkFpga based artificial neural network
Fpga based artificial neural networkHoopeer Hoopeer
 
A Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic Structure
A Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic StructureA Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic Structure
A Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic StructureIJERA Editor
 
Parallelization of Coupled Cluster Code with OpenMP
Parallelization of Coupled Cluster Code with OpenMPParallelization of Coupled Cluster Code with OpenMP
Parallelization of Coupled Cluster Code with OpenMPAnil Bohare
 
Crdom cell re ordering based domino on-the-fly mapping
Crdom  cell re ordering based domino on-the-fly mappingCrdom  cell re ordering based domino on-the-fly mapping
Crdom cell re ordering based domino on-the-fly mappingVLSICS Design
 
aMCfast: Automation of Fast NLO Computations for PDF fits
aMCfast: Automation of Fast NLO Computations for PDF fitsaMCfast: Automation of Fast NLO Computations for PDF fits
aMCfast: Automation of Fast NLO Computations for PDF fitsjuanrojochacon
 

What's hot (20)

CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELL
CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELLCNFET BASED BASIC GATES AND A NOVEL FULLADDER CELL
CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELL
 
C010321217
C010321217C010321217
C010321217
 
Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...
Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...
Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...
 
OPTIMIZATION OF IP NETWORKS IN VARIOUS HYBRID IGP/MPLS ROUTING SCHEMES
OPTIMIZATION OF IP NETWORKS IN VARIOUS HYBRID IGP/MPLS ROUTING SCHEMESOPTIMIZATION OF IP NETWORKS IN VARIOUS HYBRID IGP/MPLS ROUTING SCHEMES
OPTIMIZATION OF IP NETWORKS IN VARIOUS HYBRID IGP/MPLS ROUTING SCHEMES
 
Vlsi gate level design
Vlsi gate level designVlsi gate level design
Vlsi gate level design
 
Iaetsd low power flip flops for vlsi applications
Iaetsd low power flip flops for vlsi applicationsIaetsd low power flip flops for vlsi applications
Iaetsd low power flip flops for vlsi applications
 
A novel vedic divider based crypto-hardware for nanocomputing paradigm: An ex...
A novel vedic divider based crypto-hardware for nanocomputing paradigm: An ex...A novel vedic divider based crypto-hardware for nanocomputing paradigm: An ex...
A novel vedic divider based crypto-hardware for nanocomputing paradigm: An ex...
 
04536342
0453634204536342
04536342
 
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
 
Hawaii Swnt Ao
Hawaii Swnt AoHawaii Swnt Ao
Hawaii Swnt Ao
 
Clock mesh sizing slides
Clock mesh sizing slidesClock mesh sizing slides
Clock mesh sizing slides
 
A NOVEL FULL ADDER CELL BASED ON CARBON NANOTUBE FIELD EFFECT TRANSISTORS
A NOVEL FULL ADDER CELL BASED ON CARBON NANOTUBE FIELD EFFECT TRANSISTORSA NOVEL FULL ADDER CELL BASED ON CARBON NANOTUBE FIELD EFFECT TRANSISTORS
A NOVEL FULL ADDER CELL BASED ON CARBON NANOTUBE FIELD EFFECT TRANSISTORS
 
Towards the Performance Analysis of IEEE 802.11 in Multi-hop Ad-Hoc Networks
Towards the Performance Analysis of IEEE 802.11 in Multi-hop Ad-Hoc NetworksTowards the Performance Analysis of IEEE 802.11 in Multi-hop Ad-Hoc Networks
Towards the Performance Analysis of IEEE 802.11 in Multi-hop Ad-Hoc Networks
 
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann Method
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann MethodNumerical Simulation of Gaseous Microflows by Lattice Boltzmann Method
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann Method
 
Implementation of switching controller for the internet router
Implementation of switching controller for the internet routerImplementation of switching controller for the internet router
Implementation of switching controller for the internet router
 
Fpga based artificial neural network
Fpga based artificial neural networkFpga based artificial neural network
Fpga based artificial neural network
 
A Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic Structure
A Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic StructureA Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic Structure
A Survey Analysis on CMOS Integrated Circuits with Clock-Gated Logic Structure
 
Parallelization of Coupled Cluster Code with OpenMP
Parallelization of Coupled Cluster Code with OpenMPParallelization of Coupled Cluster Code with OpenMP
Parallelization of Coupled Cluster Code with OpenMP
 
Crdom cell re ordering based domino on-the-fly mapping
Crdom  cell re ordering based domino on-the-fly mappingCrdom  cell re ordering based domino on-the-fly mapping
Crdom cell re ordering based domino on-the-fly mapping
 
aMCfast: Automation of Fast NLO Computations for PDF fits
aMCfast: Automation of Fast NLO Computations for PDF fitsaMCfast: Automation of Fast NLO Computations for PDF fits
aMCfast: Automation of Fast NLO Computations for PDF fits
 

Viewers also liked

A New Ultra Low-Power and Noise Tolerant Circuit Technique for CMOS Domino Logic
A New Ultra Low-Power and Noise Tolerant Circuit Technique for CMOS Domino LogicA New Ultra Low-Power and Noise Tolerant Circuit Technique for CMOS Domino Logic
A New Ultra Low-Power and Noise Tolerant Circuit Technique for CMOS Domino LogicIDES Editor
 
4x4-bit 2PASCL Multiplier by Nazrul Anuar Nayan
4x4-bit 2PASCL Multiplier by Nazrul Anuar Nayan4x4-bit 2PASCL Multiplier by Nazrul Anuar Nayan
4x4-bit 2PASCL Multiplier by Nazrul Anuar Nayannazrulanuar
 
High performance low leakage power full subtractor circuit design using rate ...
High performance low leakage power full subtractor circuit design using rate ...High performance low leakage power full subtractor circuit design using rate ...
High performance low leakage power full subtractor circuit design using rate ...eSAT Publishing House
 
A New Active Snubber Circuit for PFC Converter
A New Active Snubber Circuit for PFC ConverterA New Active Snubber Circuit for PFC Converter
A New Active Snubber Circuit for PFC ConverterIDES Editor
 
Vlsi 2016- projects list
Vlsi   2016- projects listVlsi   2016- projects list
Vlsi 2016- projects listNEWZEN INFOTECH
 
An Ultra-Low Power Asynchronous-Logic
An Ultra-Low Power Asynchronous-LogicAn Ultra-Low Power Asynchronous-Logic
An Ultra-Low Power Asynchronous-LogicHossam Hassan
 
2016 IEEE VLSI TITES FROM MSR PROJECTS-9581464142
2016 IEEE VLSI TITES FROM MSR PROJECTS-95814641422016 IEEE VLSI TITES FROM MSR PROJECTS-9581464142
2016 IEEE VLSI TITES FROM MSR PROJECTS-9581464142MSR PROJECTS
 
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUEPOWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUEAnil Yadav
 
An introduction to digital signal processors 1
An introduction to digital signal processors 1An introduction to digital signal processors 1
An introduction to digital signal processors 1Hossam Hassan
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
 
Neoplasms of nose and pns
Neoplasms of nose and pnsNeoplasms of nose and pns
Neoplasms of nose and pnsMd Roohia
 
memristor
memristormemristor
memristorjithoot
 
Microprocessors and microcontrollers short answer questions and answers
Microprocessors and microcontrollers short answer questions and answersMicroprocessors and microcontrollers short answer questions and answers
Microprocessors and microcontrollers short answer questions and answersAbhijith Augustine
 
TYPES OF MEMORIES AND STORAGE DEVICE AND COMPUTER
TYPES OF MEMORIES AND STORAGE DEVICE AND COMPUTER TYPES OF MEMORIES AND STORAGE DEVICE AND COMPUTER
TYPES OF MEMORIES AND STORAGE DEVICE AND COMPUTER Rajat More
 

Viewers also liked (18)

A New Ultra Low-Power and Noise Tolerant Circuit Technique for CMOS Domino Logic
A New Ultra Low-Power and Noise Tolerant Circuit Technique for CMOS Domino LogicA New Ultra Low-Power and Noise Tolerant Circuit Technique for CMOS Domino Logic
A New Ultra Low-Power and Noise Tolerant Circuit Technique for CMOS Domino Logic
 
4x4-bit 2PASCL Multiplier by Nazrul Anuar Nayan
4x4-bit 2PASCL Multiplier by Nazrul Anuar Nayan4x4-bit 2PASCL Multiplier by Nazrul Anuar Nayan
4x4-bit 2PASCL Multiplier by Nazrul Anuar Nayan
 
High performance low leakage power full subtractor circuit design using rate ...
High performance low leakage power full subtractor circuit design using rate ...High performance low leakage power full subtractor circuit design using rate ...
High performance low leakage power full subtractor circuit design using rate ...
 
A New Active Snubber Circuit for PFC Converter
A New Active Snubber Circuit for PFC ConverterA New Active Snubber Circuit for PFC Converter
A New Active Snubber Circuit for PFC Converter
 
Vlsi 2016- projects list
Vlsi   2016- projects listVlsi   2016- projects list
Vlsi 2016- projects list
 
An Ultra-Low Power Asynchronous-Logic
An Ultra-Low Power Asynchronous-LogicAn Ultra-Low Power Asynchronous-Logic
An Ultra-Low Power Asynchronous-Logic
 
2016 IEEE VLSI TITES FROM MSR PROJECTS-9581464142
2016 IEEE VLSI TITES FROM MSR PROJECTS-95814641422016 IEEE VLSI TITES FROM MSR PROJECTS-9581464142
2016 IEEE VLSI TITES FROM MSR PROJECTS-9581464142
 
Vlsi ieee projects
Vlsi ieee projectsVlsi ieee projects
Vlsi ieee projects
 
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUEPOWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
 
An introduction to digital signal processors 1
An introduction to digital signal processors 1An introduction to digital signal processors 1
An introduction to digital signal processors 1
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
Neoplasms of nose and pns
Neoplasms of nose and pnsNeoplasms of nose and pns
Neoplasms of nose and pns
 
Nokia morph
Nokia morphNokia morph
Nokia morph
 
Pns New
Pns NewPns New
Pns New
 
memristor
memristormemristor
memristor
 
Ent radiology
Ent radiology Ent radiology
Ent radiology
 
Microprocessors and microcontrollers short answer questions and answers
Microprocessors and microcontrollers short answer questions and answersMicroprocessors and microcontrollers short answer questions and answers
Microprocessors and microcontrollers short answer questions and answers
 
TYPES OF MEMORIES AND STORAGE DEVICE AND COMPUTER
TYPES OF MEMORIES AND STORAGE DEVICE AND COMPUTER TYPES OF MEMORIES AND STORAGE DEVICE AND COMPUTER
TYPES OF MEMORIES AND STORAGE DEVICE AND COMPUTER
 

Similar to ACEEE Journal Explores High Speed Circuit Design

IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
Iaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd Iaetsd
 
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...IJERA Editor
 
A Single-Phase Clock Multiband Low-Power Flexible Divider
A Single-Phase Clock Multiband Low-Power Flexible DividerA Single-Phase Clock Multiband Low-Power Flexible Divider
A Single-Phase Clock Multiband Low-Power Flexible Dividerijsrd.com
 
A low power cmos analog circuit design for acquiring multichannel eeg signals
A low power cmos analog circuit design for acquiring multichannel eeg signalsA low power cmos analog circuit design for acquiring multichannel eeg signals
A low power cmos analog circuit design for acquiring multichannel eeg signalsVLSICS Design
 
Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Low Power Threshold Logic Designing Approach for High Energy Efficient Flip-Flop
Low Power Threshold Logic Designing Approach for High Energy Efficient Flip-FlopLow Power Threshold Logic Designing Approach for High Energy Efficient Flip-Flop
Low Power Threshold Logic Designing Approach for High Energy Efficient Flip-FlopAssociate Professor in VSB Coimbatore
 
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipOptimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipIDES Editor
 
IRJET- A Novel Design of Flip Flop and its Application in Up Counter
IRJET-  	  A Novel Design of Flip Flop and its Application in Up CounterIRJET-  	  A Novel Design of Flip Flop and its Application in Up Counter
IRJET- A Novel Design of Flip Flop and its Application in Up CounterIRJET Journal
 
A 1.8 V 25 Mbps CMOS single-phase, phase-locked loop-based BPSK, QPSK demodul...
A 1.8 V 25 Mbps CMOS single-phase, phase-locked loop-based BPSK, QPSK demodul...A 1.8 V 25 Mbps CMOS single-phase, phase-locked loop-based BPSK, QPSK demodul...
A 1.8 V 25 Mbps CMOS single-phase, phase-locked loop-based BPSK, QPSK demodul...IJECEIAES
 
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...IOSR Journals
 
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERSA REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERSVLSICS Design
 

Similar to ACEEE Journal Explores High Speed Circuit Design (20)

IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
Iaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuit
 
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
 
A Single-Phase Clock Multiband Low-Power Flexible Divider
A Single-Phase Clock Multiband Low-Power Flexible DividerA Single-Phase Clock Multiband Low-Power Flexible Divider
A Single-Phase Clock Multiband Low-Power Flexible Divider
 
A low power cmos analog circuit design for acquiring multichannel eeg signals
A low power cmos analog circuit design for acquiring multichannel eeg signalsA low power cmos analog circuit design for acquiring multichannel eeg signals
A low power cmos analog circuit design for acquiring multichannel eeg signals
 
Hb2512851289
Hb2512851289Hb2512851289
Hb2512851289
 
Hb2512851289
Hb2512851289Hb2512851289
Hb2512851289
 
Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)Welcome to International Journal of Engineering Research and Development (IJERD)
Welcome to International Journal of Engineering Research and Development (IJERD)
 
Low Power Threshold Logic Designing Approach for High Energy Efficient Flip-Flop
Low Power Threshold Logic Designing Approach for High Energy Efficient Flip-FlopLow Power Threshold Logic Designing Approach for High Energy Efficient Flip-Flop
Low Power Threshold Logic Designing Approach for High Energy Efficient Flip-Flop
 
Low Power VLSI Desgin
Low Power VLSI DesginLow Power VLSI Desgin
Low Power VLSI Desgin
 
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipOptimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
 
IRJET- A Novel Design of Flip Flop and its Application in Up Counter
IRJET-  	  A Novel Design of Flip Flop and its Application in Up CounterIRJET-  	  A Novel Design of Flip Flop and its Application in Up Counter
IRJET- A Novel Design of Flip Flop and its Application in Up Counter
 
carry select adder
carry select addercarry select adder
carry select adder
 
A 1.8 V 25 Mbps CMOS single-phase, phase-locked loop-based BPSK, QPSK demodul...
A 1.8 V 25 Mbps CMOS single-phase, phase-locked loop-based BPSK, QPSK demodul...A 1.8 V 25 Mbps CMOS single-phase, phase-locked loop-based BPSK, QPSK demodul...
A 1.8 V 25 Mbps CMOS single-phase, phase-locked loop-based BPSK, QPSK demodul...
 
10
1010
10
 
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
 
Ad4103173176
Ad4103173176Ad4103173176
Ad4103173176
 
Is3515091514
Is3515091514Is3515091514
Is3515091514
 
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERSA REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
 

More from IDES Editor

Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A ReviewIDES Editor
 
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...IDES Editor
 
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...IDES Editor
 
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...IDES Editor
 
Line Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCLine Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCIDES Editor
 
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...IDES Editor
 
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingAssessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingIDES Editor
 
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...IDES Editor
 
Selfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsSelfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsIDES Editor
 
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...IDES Editor
 
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...IDES Editor
 
Cloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkCloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkIDES Editor
 
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetGenetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetIDES Editor
 
Enhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyEnhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyIDES Editor
 
Low Energy Routing for WSN’s
Low Energy Routing for WSN’sLow Energy Routing for WSN’s
Low Energy Routing for WSN’sIDES Editor
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...IDES Editor
 
Rotman Lens Performance Analysis
Rotman Lens Performance AnalysisRotman Lens Performance Analysis
Rotman Lens Performance AnalysisIDES Editor
 
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesBand Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesIDES Editor
 
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...IDES Editor
 
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...IDES Editor
 

More from IDES Editor (20)

Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A Review
 
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
 
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
 
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
 
Line Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCLine Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFC
 
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
 
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingAssessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
 
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
 
Selfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsSelfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive Thresholds
 
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
 
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
 
Cloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkCloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability Framework
 
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetGenetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
 
Enhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyEnhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through Steganography
 
Low Energy Routing for WSN’s
Low Energy Routing for WSN’sLow Energy Routing for WSN’s
Low Energy Routing for WSN’s
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
 
Rotman Lens Performance Analysis
Rotman Lens Performance AnalysisRotman Lens Performance Analysis
Rotman Lens Performance Analysis
 
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesBand Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
 
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
 
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
 

Recently uploaded

Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j
 
How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?XfilesPro
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsEnterprise Knowledge
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonetsnaman860154
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreternaman860154
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure servicePooja Nehwal
 
SIEMENS: RAPUNZEL – A Tale About Knowledge Graph
SIEMENS: RAPUNZEL – A Tale About Knowledge GraphSIEMENS: RAPUNZEL – A Tale About Knowledge Graph
SIEMENS: RAPUNZEL – A Tale About Knowledge GraphNeo4j
 
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024BookNet Canada
 
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your Budget
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your BudgetHyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your Budget
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your BudgetEnjoy Anytime
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slidespraypatel2
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationMichael W. Hawkins
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersThousandEyes
 
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024BookNet Canada
 
Maximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptxMaximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptxOnBoard
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions
 
SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024Scott Keck-Warren
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitecturePixlogix Infotech
 

Recently uploaded (20)

Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
 
How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonets
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreter
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
 
SIEMENS: RAPUNZEL – A Tale About Knowledge Graph
SIEMENS: RAPUNZEL – A Tale About Knowledge GraphSIEMENS: RAPUNZEL – A Tale About Knowledge Graph
SIEMENS: RAPUNZEL – A Tale About Knowledge Graph
 
The transition to renewables in India.pdf
The transition to renewables in India.pdfThe transition to renewables in India.pdf
The transition to renewables in India.pdf
 
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your Budget
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your BudgetHyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your Budget
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your Budget
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slides
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
 
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
 
Maximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptxMaximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptx
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food Manufacturing
 
SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC Architecture
 

ACEEE Journal Explores High Speed Circuit Design

  • 1. ACEEE International Journal on Communication, Vol 1, No. 1, Jan 2010 A High Speed Pipelined Dynamic Circuit Implementation Using Modified TSPC Logic Design Style With Improved Performance Abhijit Asati and Chandrashekhar2 1 Birla Institute of Technology and Science, EEE Group, Pilani, India Email: abhijitmicro@gmail.com 2 Central Electronics Engineering Research Institute, IC Design Group, Pilani, India Email: chandra@ceeri.ernet.in Abstract— The high-speed dynamic True Single Phase clock skew problem and increases the speed [1], [2], Clock (TSPC) logic design style offer fully pipelined logic [8]. The TSPC Logic style shows a robust cell circuits using only one clock signal, which makes clock characteristic and therefore the standard cell distribution simple and compact. The conversion of simple implementation is possible. The TSPC-cell consists of logic gates to pipelined TSPC logic gates increases transistor count since standard cell implementation for a logic function one N-block and one P-block each driven by single clock uses both N-block as well as P-block to remove transparency signal (φ) [1], [2], [5], [7]. In standard TSPC logic style between pipelined stages, despite the fact that logic the implementation of simple gates like AND, OR, XOR functions are only implemented with N-block. In this paper increases the transistor count since each logic cell we present a technique in which a TSPC logic cell are implementation use both N-block together with P-block implemented both as cell_N and cell_P cells, where each cell to remove transparency between the pipelined stages, block is performing a logic function along with only one while logic function is implemented only with N-block type latching operation. Such an implementation allows a [3], [5]; therefore it makes the P-block redundant, since it systematic approach for converting un-pipelined circuits to perform no logic function other than latching. Although fully pipelined circuits. The alternate cell_N and cell_P behaves as dynamic register and removes transparency logic merging is possible [1], [2] in standard TSPC cell between pipelined stages. The appropriate numbers of by implementing logic functions with both the N-block dynamic registers are used to equalize stage delays for all and P-block, but such a logic merging is inefficient, since paths and to remove transparency between pipelined stages. in practical cases inputs to a gate may appear after The modified TSPC implementation shows almost 40% to different cycle delays, which may not allow effective 50% reduction in transistor counts and almost 50% logic merging. The implementation complexity also reduction in clock cycles as compared to worst-case increases due to reduced freedom for logic merging; since standard TSPC implementation. The worst-case standard it requires the careful and tedious mapping of logic TSPC implementation assumes that no logic merging is functions with both the blocks. The worst-case standard possible with P-block, since input to any cell appears after different cycle delays. The modified TSPC logic circuit TSPC logic implementation assumes that each cell logic implementation preserves all the advantages of standard function is implemented with N-block only, while P- TSPC logic implementation and in addition offers the block do not implement any logic but provide dynamic reduced circuit complexity due to reduced transistor count latching to avoid the transparency between pipelined per logic cell. The proposed logic design style reduces layout stages (i.e. no logic merging possible). Furthermore, the area and average power consumption as compared to the pipelined circuit requires the stage registers (REG) to standard TSPC pipelined circuit implementation. equalize the stage delays for all paths, which are Index Terms—TSPC, dynamic, pipelined, high speed, implemented as one LATCH_N and LATCH_P in transistor count, circuit complexity cascade. In standard TSPC logic cells if logic merging is not efficient, it increases pipelined stages that need the I. INTRODUCTION extra register operation, which increases the transistor Conventional static CMOS circuit implementation count [3], [6]. In this paper we present a technique in cannot fulfill the requirements of high throughput which a TSPC logic cells are implemented as cell_N and pipelined digital designs therefore dynamic logic circuits cell_P, where each cell is performing a logic function can be used to speed-up digital designs. The high-speed along with only one type latching operation (either N- dynamic True Single Phase Clock (TSPC) logic design latch or P-latch). The transparency between the pipelined style offer fully pipelined logic circuits using only one stages can be removed by alternate cell_N and cell_P clock signal, which makes clock distribution simple and assignment. Since each cell performs a logic operation compact [1], [2], [8]. The simple clock distribution of the along with latching, such an implementation will reduce TSPC circuits reduces the layout area for clock lines, no the number of redundant transistors due to efficient merging of logic. Footnotes: 8-point Times New Roman font; copyright credit, project number, corresponding author, etc. The circuit complexity gets reduced due to decrease in transistor count per logic cell. Since each cell performs the logic function, therefore the burden of stage register operations to equalize the stage delays for all paths gets 24 © 2010 ACEEE DOI: 01.ijcom.01.01.06
  • 2. ACEEE International Journal on Communication, Vol 1, No. 1, Jan 2010 reduced by almost 50% as compared to worst-case standard TSPC logic implementation. The proposed technique reduces the latency and increases the throughput of digital pipelined circuit implementation since the number of clock cycle gets reduced by almost 50%. The proposed logic design style offers advantages like pipelining, low average power, low latency and high throughput. A 3-bit pipelined adder is designed using modified TSPC logic style and compared with standard TSPC logic circuit implementation. Logic implementation using the proposed logic design style is described in Section II; circuit implementation is discussed in Section III. Section IV shows the comparison between standard TSPC and modified TSPC and section V concludes the paper. II. LOGIC IMPLEMENTATION The proposed modified TSPC implementation allows (a) AND_N the flexibility of implementing logic function with both N-block as well as with P-block, hence converting the simpler logic cells to pipelined logic cells shows large reduction in the transistor count as compared to standard TSPC logic design style. The simple logic cells like AND, OR, XOR can be designed using both N-block logic cell as well as P-block logic cell, thus the logic cells produced for AND function will be AND_N & AND_P; OR, XOR in similar way produces OR_N & OR_P, XOR_N & XOR_P respectively. A simple AND2 gate can be implemented in two different ways (AND2_N and AND2_P) as shown in Figure 1. The operations of AND_N and AND2_P are shown in table I. REG can be split into LATCH_N and LATCH_P. The latching can be performed by these two latches are shown in Figure 2. These latches are used to equalize cycle delays, since the alternate LATCH_N and LATCH_P form a dynamic (b) AND_P register REG [4]. Table II explains operation of both the latches. For a pipelined circuit implementation the cell-N and cell-P must be kept one after other in cascaded TABLE II. OPERATIONS OF LATCH_N AND LATCH_P manner with appropriate latching to equalize stage delays for all paths as explained in section III. The operation of OPERATION OF LATCH_N cell_N/cell_P and LATCH_N/LATCH_P are summarized in table III. A φ Y Comment 0 1 0 N1 is weak ON TABLE I. OPERATION OF AND_N AND OPERATION OF AND_P 1 1 1 P1 strong ON 0 0 Latch Dynamic latch OPERATION OF AND_N 1 0 Latch Dynamic latch A B φ Y Comments OPERATION OF LATCH_P 0 0 0 0 Dynamic evaluation 0 1 0 0 Dynamic evaluation 1 0 0 0 Dynamic evaluation A φ Y Comment 1 1 0 1 Dynamic evaluation 0 0 0 N2 is strong ON X X 1 Latch Dynamic latch 1 0 1 P2 weak ON 0 1 Latch Dynamic latch OPERATION OF AND_P 1 1 Latch Dynamic latch A B φ Y Comments 0 0 1 0 Dynamic evaluation 0 1 1 0 Dynamic evaluation 25 © 2010 ACEEE DOI: 01.ijcom.01.01.06
  • 3. ACEEE International Journal on Communication, Vol 1, No. 1, Jan 2010 TABLE III. SUMMARY OF OPERATION therefore requires almost double transistor and 6-clock cycle delay for any applied input. The comparison of Cell Type φ=1 φ=0 transistor counts in two different implementations is cell_N/ Dynamic Dynamic LATCH_N evaluation latch shown in table IV. Both the circuit implementations were cell_P/ Dynamic Dynamic verified using transistor level simulator (T-spice) from LATCH_P latch P1 evaluation Tanner Research Incorporation utilizing parameters of 0.5 μm technology. The simulation result shows correct pipelined circuit behavior. The supply voltage VDD was kept at 3.3V. N1 φ A S XOR2_N B XOR2_P φ Cin LATCH_N φ AND2_N OR3_P P2 φ LATCH_N Co AND2_N φ φ N2 AND2_N φ Figure 3. 1-bit pipelined adder circuit IV. COMPARISON The comparison of transistor counts for 3-bit pipelined adder implementation results utilizing standard III. CIRCUIT IMPLEMENTATION TSPC logic design style and modified TSPC logic design style is shown in Table IV. The comparison result shows The 1-bit pipelined adder circuit shown in Figure 3 has LATCH_P almost 46 to 47 % less transistor count for modified TSPC logic design style. The other advantage in modified Figure 2. LATCH_N and LATCH_P Cells (CLK=φ) TSPC logic implementation is the reduction in number of clock cycles; the output is available after 3-clock cycle as compared to the 6-clock cycle required in standard TSPC implementation. The existence of the P block requires been designed using XOR2, AND2 and OR3 gates, where PMOS devices need to be sized up to attain the same the first stage is implemented in cell_N logic while second stage is implemented as cell_P logic. A half cycle gate’s performance; because of its low mobility (μp) latch LATCH_N is used in parallel with cell_N logic to devices as compared to NMOS devices (μn). equalize stage delay, thus the circuit will take one clock cycle to produce correct output. In the standard TSPC implementation XOR2_N and XOR2_P are replaced by IV. CONCLUSION XOR2, LATCH_N is replaced by REG, AND_N is The paper presented a new modified true single-phase replaced by AND2, and OR3_P is replaced by OR3 clock logic design style to implement the pipelined circuit therefore it takes 2 clock cycle to produce correct output. for high-speed real time application. The modified Comparison of transistor counts in two different implementation scheme reduces number of transistor implementations is shown in table IV. Following the count by 40% to 50% as compared to standard TSPC hierarchical design approach, a 3-bit ripple pipelined implementation. adder can be designed using above 1-bit adder and keeping latches at appropriate positions as shown in Figure 4. The circuit takes three (cell_N+cell_P) delay; since one (cell_N+cell_P) forms dynamic register (REG) and takes one clock cycle to produce output therefore output would be available after 3-clock cycle delay for any applied input. The standard TSPC implementation uses REG instead of LATCH_N or LATCH_P and 26 © 2010 ACEEE DOI: 01.ijcom.01.01.06
  • 4. ACEEE International Journal on Communication, Vol 1, No. 1, Jan 2010 B0 A0 B1 A1 B2 A2 φ φ φ Ci FA LATCH_N LATCH_N LATCH_N LATCH_N S CO φ φ φ LATCH_P LATCH_P LATCH_P LATCH_P φ LATCH_N φ FA LATCH_N LATCH_N φ S CO LATCH_P φ φ LATCH_P φ LATCH_P φ LATCH_N φ LATCH_N φ φ FA φ LATCH_P S CO LATCH_P S0 S1 S2 C2 Figure 4. 3-bit pipelined adder circuit TABLE IV. COMPARISON TABLE standard TSPC Modified TSPC Cell No of No. of Sub Cell Type No of No. of Sub transistor in Total cells transistor in Total Type cells each cell each cell XOR2 6 19 114 XOR2_N/XOR2_P 6 13 78 AND2 9 13 117 AND2_N/AND2_P 9 7 63 OR3 3 14 42 OR3_N/ OR3_P 3 8 24 REG 21 11 231 LATCH_N/LATCH_P 21 5 105 The proposed logic style offers almost 50% reduction [3] Pareira, J.A. Michell, and J.M Solana “ Fully pipelined in the number of clock cycle therefore the pipelined logic TSPC Barrel shifter for High-Speed Applications,” IEEE J. circuit can be designed to offer reduced latency and Solid-State Circuits, Vol. 30, pp. 686-690, June 1996. [4] Jiren Yuan and Christer Svensson, “High-speed CMOS increased throughput as compared to standard TSPC Circuit Technique,” IEEE J. Solid-State Circuits, Vol. 24, circuit implementation. The proposed modified TSPC pp. 62-69, February 1989. logic design style is best suited for converting un- [5] S. Flügel, F. Grassert, M. Grothmann, M. Haase, P. pipelined circuits to fully pipelined circuits with effective Nimsch,H. Ploog, D. Timmermann, A. Wassatsch “A logic merging. The circuit complexity reduces due to Design Flow for 12.8 GBit/s Triple DES using Dynamic reduced number of transistors per logic cell. The Logic and Standard Synthesis Tools,” SNUG Europe-2001. proposed logic style implementation offers compact [6] Johnny Pihl “Design Automation with the TSPC Circuit layout area and the reduced average power consumption Technique: A High-Performance Wave Digital Filter,” for pipelined circuit implementations. Use of single clock IEEE Transactions on very large scale integration (VLSI) Systems, VOL. 8, NO. 4, pp. 456-459, August 2000 makes clock distribution simple and compact. [7] Yuan ji-ren, Ingemar Karlsson, Christer Svensson, “A True Single-Phase-Clock Dynamic CMOS Circuit Technique,” REFERENCES IEEE J. Solid-State Circuits, Vol. SC-22, NO. 5, pp. 899- [1] Jan M. Rabaey, Anantha Chandrakasan, Borivose Nikolic, 901, October 1987. “Digital Integrated Circuits,” Second Edition Prentice–Hall [8] Seokjin Kim and Ramalingam Sridhar “Buffered Single- of India Private Limited, 2004. phase Clocked Logic for High-speed CMOS Pipelined [2] S.M. kang, Yusuf Leblebici, “CMOS Digital integrated Circuits,” 1997 IEEE International Symposium on Circuits Circuits, Analysis and Design,” Third edition McGrawhill, and Systems, pp.1901-1903, June 9-12,1997, Hong Kong 2003. 27 © 2010 ACEEE DOI: 01.ijcom.01.01.06