SlideShare a Scribd company logo
1
A Comparative Study of Different Multiplier Designs
Aisha Abdallah
Department of Electrical and Computer Engineering, University of Sharjah
ABSTRACT
In this paper, a comparative study of different multiplier
designs has been presented. It shows various
multiplication techniques and discusses the modification
that is applied to the multiplication methods. An
overview about four selected multiplier designs is
provided. They are compared from VLSI design
performance; speed, power, and area, and the best
performance is highlighted. The lowest power
consumption is shown in selected CSA multiplier, also
with the lowest delay with the values 0.198mW and
6psec, respectively. Hence, it is used for low-power
applications. In other hand, the smallest area is achieved
by selected MBE with 4030 μm2
.
1. INTRODUCTION
Arithmetic logic operations, such as multiplication and
addition, are one of the dominant concern in digital
signal processing (DSP), multimedia, 3D graphics,
microprocessor, application-specific integrated circuit
(ASIC),…etc [1-3]. In DSP field, the binary
multiplication is the most important operation in the
hardware. Thus, multiplication method must not
consume much execution time of the hardware. There
are many DSP algorithms that depend on multiplication,
such as digital filters, Discrete Cosine Transform (DCT),
and Fast Fourier Transform (FFT). Searching for ace
multiplier lands on three features; high-speed and low-
power. These features have tradeoff among them, but a
compromise design can be achieved. There are three
basic steps in multiplication which are partial products
generation, partial products additions (reduction), and
final addition [4]. There are many different and modified
architecture designs of multiplier. This paper will
present a comparison among different multipliers from
three important considerations of VLSI design which are
power, area, and delay. This paper is organized as
follows. Section 2 gives basic background about several
multiplication techniques. Overview about four different
designed multipliers is provided in Section 3. Section 4
summarizes the comparison of the discussed multipliers.
The conclusion is drawn in Section 5.
2. MULTIPLICATION TECHNIQUES
There are various techniques to do binary multiplication.
Also, there exist many modifications done to these
techniques. Binary array multiplier, shown in Figure 1,
consists of AND gates, half adders, and full adders. For
N-bit×N-bit unsigned numbers, it needs N2
AND gates,
N half adders, and N(N-2) full adders [5]. It uses ripple
carry adder in which the carry-out of each adder is
rippled to be the carry-in for the next adder. To multiply
both unsigned and signed numbers, radix-4 Modified
Booth Encoder (MBE) is one of the methods to do that.
It groups three consecutive bits of the multiplier and
matches each group to partial products table, given by
Table 1 [1]. Thus, it reduces number of partial products
and so the numbers of adders. Carry Save Adder (CSA)
multiplier consists of half adders and full adders. It is
similar to ripple carry adder but the carry-out vector is
saved to be combined with the output of sum later. The
half adder can be designed as depicted in Figure 2,
which is known as multiplexing method. It is built using
CPL (Complementary Pass-transistor Logic) that reduces
number of transistors and consists only of NMOS. Thus,
it provides low capacitance and yields to high speed (low
delay) and low power. Also, half adder can be
implemented using MCIT (Multiplexing Control Input
Technique). It has less number of transistors comparing
with CMOS but it is more than CPL [2]. Another type of
multiplier is Braun multiplier, which consists of array of
N2
AND gates and array of N(N-1) full adder. It is used
only for unsigned numbers. The generated partial
products are inputs to cascaded CSA. A modified version
of Braun multiplier is called Baugh-Wooley multiplier,
in which it is used for unsigned and signed numbers
represented in 2’complement [2].
3. OVERVIEW ABOUT FOUR DIFFERENT
DESIGNED MULTIPLIERS
Four types of multipliers which are proposed in [1], [2],
[3], and [4] will be discussed and compared from
different point of view of VLSI design parameters; area,
delay, and power, and used technology. Each design
shows a modification done on the conventional
multiplier to enhance its performances.
2
Figure 1. Binary array multiplier [5].
Figure 2. Half adder using CPL [2].
3.1. A logarithmic time method of 2’s complement
representation
A fast MBE is achieved by using a new 2’s complement
representation, given in [1]. It starts by finding
conversion signal which groups, starting from LSB, two
consecutive bit each level; 2, 4, 8,…,2n. After each
group, if the rightmost digit is ‘1’ in each group then it
masks the left-bits to ‘1’, otherwise they are unchanged.
Then 2’s complement is obtained by adding the final
conversion signal to the input. This leads to have a well-
organized diamond-shape of partial product tree. The
last row in multiplication scheme is 2’s complement with
partial product selection. Figure 3 summarizes the new
technique to find 2’s complement. Using 3-5 coder
selects the possible input value using Table 1. The 2’s
complement is generated concurrently with MBE and 3-
5 coder. This technique reduces partial products rows
and minimizes the total multiplication operating time
[1].
3.2. Multipliers using a Shannon-based adder
The Shannon-based adder relies on Shannon Theorem,
which basically uses the multiplexers to represent the
function as a sum of two sub-functions in term of one or
more input variables and its negation. In [2], this
proposed type of adder is applied to Braun, Baugh-
Wooley, and CSA multipliers. Figure 4 shows the
Shannon-based adder used in [2]. Using X-OR and X-
NOR gates constructed by CPL offers less number of
transistors in the sum circuit but the overall number of
transistors is large [2]. For the same multiplier type, the
designed adder grabs the best performance among other
adders; i.e. MCIT, CPL-12T, and mixed Shannon except
in the area and number of transistors where mixed
Shannon is preferable. The lowest power dissipation and
delay is obtained by CSA because it uses half-adder
circuit. The significant advantages of the proposed
multipliers show in low power dissipation and high
speed comparing to other 8x8 multipliers.
3.3. Pair-wise algorithm
The introduced pair-wise algorithm in [3] reduces the
8x8 bits complex mathematic multiplication in two steps
without using encoder; generation of partial products and
partial products addition. Each number in multiplication
is separated into even and odd numbers, each one n-bit,
so that the addition of them gives the original number.
Then, multiplication of the two numbers, represented in
even and odd, is done pairwise. The results are Pee, Peo,
Poe, and Poo. Each result has even partial products is
divided into a sum of even and odd and added using 3:2
adder to make reduction in number of partial products.
The result at this stage is A and B. x7y1, x2y7, x2y8, and
x7y2, are sparse bits are to M and N which are originally
13-bit and 15-bit zeros, respectively. Poo is grouped with
M and N to get another two numbers. The final result is
obtained as the output of CLA. The addition step is
depicted in Figure 5. The multiplier consists of full
adder, half adder, and CLA. The proposed full adder
circuit is X-OR constructed using CPL-10T. This design
gives low power dissipation and high speed as the
comparison done in [3].
3.4. Modified binary array multiplier
In [5], the traditional binary array multiplier is altered by
replacing the last full adder with the CLA adder. It
provides a fast computation for the carry-in of each
previous stage. The sum and carry for a four bit adder is
expressed as in (1-2), respectively. Equation (3-4)
defines two terms; the generation carry and propagation
carry, respectively. Gi occurs when carry-out is generated
Groups of multiplier
digits Partial products
000 0
001 1*multiplicand
010 1*multiplicand
011 2*multiplicand
100 -2*multiplicand
101 -1*multiplicand
110 -1*multiplicand
111 0
Table 1. Radix-4 Modified Booth Encoder [1]
3
Figure 3. A new technique of finding 2’complement [1].
Figure 4. The proposed Shannon-based adder cell [2]
Figure 5. The partial product addition [3]
internally in the full adder. Pi is produced when carry-in
appears. For 4-bit adder, this can be shown as (5-8).
The speed in the conventional array multiplier depends
on propagation time of carries in all stages, while, using
CLA adder, it eliminates the propagation delay of the
carries realized in the addition. The carry-out bits are
found ahead based on inputs and initial carry-in without
waiting for the full adder output to be found in each
stage. Thus, a faster partial product is generated and a
speeder adder is obtained.
Sum = Xi ⊕Yi ⊕ Ci (1)
Cout,i+1 = Gi + Pi · Ci (2)
Gi = Xi·Yi (3)
Pi = Xi ⊕ Yi. (4)
Cout,1 = G0 + P0 · C0 (5)
Cout,2 = G1 + P1 · Cout,1 (6)
Cout,3 = G2 + P2 · Cout,2 (7)
Cout,4 = G3 + P3 · Cout,3. (8)
4. COMPARISON OF THE FOUR TYPES OF
MULTIPLIER DESIGNS
Different techniques and modifications have been
discussed to preform multiplication. Each one provides
advantages and tradeoffs among several parameter
performances. Power, area, and delay are the three
essential performances in VLSI design. The comparison
among the discussed multipliers is summarized in Table
1 and Table 2. All references simulate and test for 8-
bitx8-bit multiplier except [4]. The lowest delay and
lowest power dissipation is resulted from [2] using CSA
multiplier, in which delay is 6psec and power dissipation
is 0.198mW. Thus, this multiplier is preferable for high
speed and low power devices. Reference [1] is the good
path to implement multiplier in an area consideration
environment, where the occupied area is 4030μm2
.
1. CONCLUSION
In this paper, different types of multipliers are compared.
Each one shows a new method or a modification on
traditional multipliers. They are implemented using
different technologies. The lowest power consumption is
CSA multiplier [2], also with the lowest delay with the
values 0.198mW and 6psec, respectively. Hence, it is
used for low-power applications. Obviously, the smallest
area is achieved by MBE [1] with 4030 μm2
.
Table 1. Comparison of discussed multipliers showing
their types, modification, and process.
Reference
Type of
multiplier
Type of modification Process
[1]
MBE
(8-bitx8-bit)
Fast multiplication
in 2’s complement
representation
Artisan
TSMC
0.13μm
[2]
Baugh-
Wooley
(8-bitx8-bit) Shannon-based
adder
90nm
CMOS
Braun
(8-bitx8-bit)
CSA
(8-bitx8-bit)
[3]
Pair-wise
algorithm
(8-bitx8-bit)
10-transistor full
adder cell
6
Metal
0.18μm
Digital
CMOS
[4]
Array
(4-bitx4-bit)
CLA adder -
+
4
Table 2. Comparison of discussed multipliers from VLSI
design parameter performances.
Type of
multiplier
Power
consumption
(mW)
Delay (ps) Area (μm2
)
MBE
(8-bitx8-bit)
6.3876 3030 4030
Baugh-
Wooley
(8-bitx8-bit)
0.816 37 6230
Braun
(8-bitx8-bit)
0.307 16 21672
CSA
(8-bitx8-bit)
0.198 6 32316
Pair-wise
algorithm
(8-bitx8-bit)
0.64 1256 14267
Array
(4-bitx4-bit)
68.49 16620 -
REFERENCES
[1] J.-Y. Kang, and J.-L. Gaudiot, “A Simple High-Speed
Multiplier Design,” IEEE Transaction on Computers,
vol. 55, no. 10, pp.1253-1258, Oct. 2006.
[2] C. Senthilpari, K. Diwakar, and A. K. Singh, “High
Speed and High Throughput 8x8 Bit Multiplier using a
Shannon-Based Adder Cell,” in TENCON 2009-2009
IEEE Region 10 Conference, Singapore, pp. 1-5, Jan.
2009.
[3] L. Jayaraju, B. N. Rao, and A. V. Rao, “0.69mW,
700MHz Novel 8x8 Digital Multiplier,” International
Journal of Computer Theory and Engineering, vol. 3, no.
5, pp. 662-665, Oct. 2011.
[4] R. Dhanabal, V. Bharathi, N. Anand, G. Joseph, S.
Oommen, and S. Sahoo, “Comparison of Existing
Multipliers and Proposal of a New Design for Optimized
Performance, ” International Journal of Engineering and
Technology(IJET), vol. 5, no. 2, pp. 1704-1709, May
2013.
[5] S. R. Vaidya, and D. R. Dandekar, “Performance
Comparison of Multipliers for Power-Speed Trade-off in
VLSI Design,” in Recent Advances in Networking, VLSI
and Signal Processing, University of Cambridge, UK,
pp. 262-266, Feb. 2010.

More Related Content

What's hot

wcnc05
wcnc05wcnc05
High performance pipelined architecture of elliptic curve scalar multiplicati...
High performance pipelined architecture of elliptic curve scalar multiplicati...High performance pipelined architecture of elliptic curve scalar multiplicati...
High performance pipelined architecture of elliptic curve scalar multiplicati...
Ieee Xpert
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
IOSRJVSP
 
VLSI Implementation of High Speed & Low Power Multiplier in FPGA
VLSI Implementation of High Speed & Low Power Multiplier in FPGAVLSI Implementation of High Speed & Low Power Multiplier in FPGA
VLSI Implementation of High Speed & Low Power Multiplier in FPGA
IOSR Journals
 
Mukherjee2015
Mukherjee2015Mukherjee2015
Mukherjee2015
Bannoth Madhusudhan
 
W4408123126
W4408123126W4408123126
W4408123126
IJERA Editor
 
IRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based MultiplierIRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based Multiplier
IRJET Journal
 
R04605106110
R04605106110R04605106110
R04605106110
IJERA Editor
 
Eq36876880
Eq36876880Eq36876880
Eq36876880
IJERA Editor
 
H010414651
H010414651H010414651
H010414651
IOSR Journals
 
Performance analysis of transmission of 5 users based on model b using gf (5)...
Performance analysis of transmission of 5 users based on model b using gf (5)...Performance analysis of transmission of 5 users based on model b using gf (5)...
Performance analysis of transmission of 5 users based on model b using gf (5)...
csandit
 
M367578
M367578M367578
M367578
IJERA Editor
 
JOURNAL PAPER
JOURNAL PAPERJOURNAL PAPER
JOURNAL PAPER
Raj kumar
 
HOSVD-visualization
HOSVD-visualizationHOSVD-visualization
HOSVD-visualization
Keyvan Sadri
 
Gv3512031207
Gv3512031207Gv3512031207
Gv3512031207
IJERA Editor
 
2012
20122012
A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture
A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI ArchitectureA Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture
A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture
IRJET Journal
 
Arithmetic Operations in Multi-Valued Logic
Arithmetic Operations in Multi-Valued LogicArithmetic Operations in Multi-Valued Logic
Arithmetic Operations in Multi-Valued Logic
VLSICS Design
 

What's hot (18)

wcnc05
wcnc05wcnc05
wcnc05
 
High performance pipelined architecture of elliptic curve scalar multiplicati...
High performance pipelined architecture of elliptic curve scalar multiplicati...High performance pipelined architecture of elliptic curve scalar multiplicati...
High performance pipelined architecture of elliptic curve scalar multiplicati...
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
 
VLSI Implementation of High Speed & Low Power Multiplier in FPGA
VLSI Implementation of High Speed & Low Power Multiplier in FPGAVLSI Implementation of High Speed & Low Power Multiplier in FPGA
VLSI Implementation of High Speed & Low Power Multiplier in FPGA
 
Mukherjee2015
Mukherjee2015Mukherjee2015
Mukherjee2015
 
W4408123126
W4408123126W4408123126
W4408123126
 
IRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based MultiplierIRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based Multiplier
 
R04605106110
R04605106110R04605106110
R04605106110
 
Eq36876880
Eq36876880Eq36876880
Eq36876880
 
H010414651
H010414651H010414651
H010414651
 
Performance analysis of transmission of 5 users based on model b using gf (5)...
Performance analysis of transmission of 5 users based on model b using gf (5)...Performance analysis of transmission of 5 users based on model b using gf (5)...
Performance analysis of transmission of 5 users based on model b using gf (5)...
 
M367578
M367578M367578
M367578
 
JOURNAL PAPER
JOURNAL PAPERJOURNAL PAPER
JOURNAL PAPER
 
HOSVD-visualization
HOSVD-visualizationHOSVD-visualization
HOSVD-visualization
 
Gv3512031207
Gv3512031207Gv3512031207
Gv3512031207
 
2012
20122012
2012
 
A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture
A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI ArchitectureA Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture
A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture
 
Arithmetic Operations in Multi-Valued Logic
Arithmetic Operations in Multi-Valued LogicArithmetic Operations in Multi-Valued Logic
Arithmetic Operations in Multi-Valued Logic
 

Similar to A comparative study of different multiplier designs

Design and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select AdderDesign and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select Adder
ijsrd.com
 
High Performance MAC Unit for FFT Implementation
High Performance MAC Unit for FFT Implementation High Performance MAC Unit for FFT Implementation
High Performance MAC Unit for FFT Implementation
IJMER
 
EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...
EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...
EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...
VLSICS Design
 
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd Iaetsd
 
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...
Silicon Mentor
 
Gt3612201224
Gt3612201224Gt3612201224
Gt3612201224
IJERA Editor
 
IRJET- An Efficient Wallace Tree Multiplier using Modified Adder
IRJET- An Efficient Wallace Tree Multiplier using Modified AdderIRJET- An Efficient Wallace Tree Multiplier using Modified Adder
IRJET- An Efficient Wallace Tree Multiplier using Modified Adder
IRJET Journal
 
A Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth MultiplierA Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth Multiplier
IJERA Editor
 
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
IRJET Journal
 
Paper id 37201520
Paper id 37201520Paper id 37201520
Paper id 37201520
IJRAT
 
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. TechniqueDesign and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
IJMER
 
Implemenation of Vedic Multiplier Using Reversible Gates
Implemenation of Vedic Multiplier Using Reversible Gates Implemenation of Vedic Multiplier Using Reversible Gates
Implemenation of Vedic Multiplier Using Reversible Gates
csandit
 
DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARIT...
DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARIT...DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARIT...
DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARIT...
eeiej_journal
 
D0161926
D0161926D0161926
D0161926
IOSR Journals
 
Design of carry save adder using transmission gate logic
Design of carry save adder using transmission gate logicDesign of carry save adder using transmission gate logic
Design of carry save adder using transmission gate logic
ijiert bestjournal
 
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET Journal
 
IJET-V3I1P14
IJET-V3I1P14IJET-V3I1P14
Area Efficient and Reduced Pin Count Multipliers
Area Efficient and Reduced Pin Count MultipliersArea Efficient and Reduced Pin Count Multipliers
Area Efficient and Reduced Pin Count Multipliers
CSCJournals
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)
inventionjournals
 
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. TechniqueDesign and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
IJMER
 

Similar to A comparative study of different multiplier designs (20)

Design and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select AdderDesign and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select Adder
 
High Performance MAC Unit for FFT Implementation
High Performance MAC Unit for FFT Implementation High Performance MAC Unit for FFT Implementation
High Performance MAC Unit for FFT Implementation
 
EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...
EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...
EFFICIENT IMPLEMENTATION OF 16-BIT MULTIPLIER-ACCUMULATOR USING RADIX-2 MODIF...
 
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
 
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...
 
Gt3612201224
Gt3612201224Gt3612201224
Gt3612201224
 
IRJET- An Efficient Wallace Tree Multiplier using Modified Adder
IRJET- An Efficient Wallace Tree Multiplier using Modified AdderIRJET- An Efficient Wallace Tree Multiplier using Modified Adder
IRJET- An Efficient Wallace Tree Multiplier using Modified Adder
 
A Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth MultiplierA Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth Multiplier
 
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
 
Paper id 37201520
Paper id 37201520Paper id 37201520
Paper id 37201520
 
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. TechniqueDesign and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
 
Implemenation of Vedic Multiplier Using Reversible Gates
Implemenation of Vedic Multiplier Using Reversible Gates Implemenation of Vedic Multiplier Using Reversible Gates
Implemenation of Vedic Multiplier Using Reversible Gates
 
DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARIT...
DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARIT...DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARIT...
DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARIT...
 
D0161926
D0161926D0161926
D0161926
 
Design of carry save adder using transmission gate logic
Design of carry save adder using transmission gate logicDesign of carry save adder using transmission gate logic
Design of carry save adder using transmission gate logic
 
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
 
IJET-V3I1P14
IJET-V3I1P14IJET-V3I1P14
IJET-V3I1P14
 
Area Efficient and Reduced Pin Count Multipliers
Area Efficient and Reduced Pin Count MultipliersArea Efficient and Reduced Pin Count Multipliers
Area Efficient and Reduced Pin Count Multipliers
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)
 
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. TechniqueDesign and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
Design and Implementation of 8 Bit Multiplier Using M.G.D.I. Technique
 

More from Hoopeer Hoopeer

Symica
SymicaSymica
Gene's law
Gene's lawGene's law
Gene's law
Hoopeer Hoopeer
 
Tektronix mdo3104 mixed domain oscilloscope
Tektronix mdo3104 mixed domain oscilloscopeTektronix mdo3104 mixed domain oscilloscope
Tektronix mdo3104 mixed domain oscilloscope
Hoopeer Hoopeer
 
Low power sar ad cs presented by pieter harpe
Low power sar ad cs presented by pieter harpeLow power sar ad cs presented by pieter harpe
Low power sar ad cs presented by pieter harpe
Hoopeer Hoopeer
 
Cadence tutorial lab_2_f16
Cadence tutorial lab_2_f16Cadence tutorial lab_2_f16
Cadence tutorial lab_2_f16
Hoopeer Hoopeer
 
Step by step process of uploading presentation videos
Step by step process of uploading presentation videos Step by step process of uploading presentation videos
Step by step process of uploading presentation videos
Hoopeer Hoopeer
 
233466440 rg-major-project-final-complete upload
233466440 rg-major-project-final-complete upload233466440 rg-major-project-final-complete upload
233466440 rg-major-project-final-complete upload
Hoopeer Hoopeer
 
435601093 s-parameter LTtspice
435601093 s-parameter LTtspice435601093 s-parameter LTtspice
435601093 s-parameter LTtspice
Hoopeer Hoopeer
 
Influential and powerful professional electrical and electronics engineering ...
Influential and powerful professional electrical and electronics engineering ...Influential and powerful professional electrical and electronics engineering ...
Influential and powerful professional electrical and electronics engineering ...
Hoopeer Hoopeer
 
Ki0232 3 stage fm transmitter
Ki0232 3 stage fm transmitterKi0232 3 stage fm transmitter
Ki0232 3 stage fm transmitter
Hoopeer Hoopeer
 
Teager energy operator (teo)
Teager energy operator (teo)Teager energy operator (teo)
Teager energy operator (teo)
Hoopeer Hoopeer
 
Teager energy operator (teo)
Teager energy operator (teo)Teager energy operator (teo)
Teager energy operator (teo)
Hoopeer Hoopeer
 
En physics
En physicsEn physics
En physics
Hoopeer Hoopeer
 
Beautiful lectures
Beautiful lecturesBeautiful lectures
Beautiful lectures
Hoopeer Hoopeer
 
Cadence tutorial lab_2_f16
Cadence tutorial lab_2_f16Cadence tutorial lab_2_f16
Cadence tutorial lab_2_f16
Hoopeer Hoopeer
 
Performance of the classification algorithm
Performance of the classification algorithmPerformance of the classification algorithm
Performance of the classification algorithm
Hoopeer Hoopeer
 
Electronics i ii razavi
Electronics i ii razaviElectronics i ii razavi
Electronics i ii razavi
Hoopeer Hoopeer
 
Bardeen brattain and shockley
Bardeen brattain and shockleyBardeen brattain and shockley
Bardeen brattain and shockley
Hoopeer Hoopeer
 
978 1-4615-6311-2 fm
978 1-4615-6311-2 fm978 1-4615-6311-2 fm
978 1-4615-6311-2 fm
Hoopeer Hoopeer
 
William gilbert strange
William gilbert strangeWilliam gilbert strange
William gilbert strange
Hoopeer Hoopeer
 

More from Hoopeer Hoopeer (20)

Symica
SymicaSymica
Symica
 
Gene's law
Gene's lawGene's law
Gene's law
 
Tektronix mdo3104 mixed domain oscilloscope
Tektronix mdo3104 mixed domain oscilloscopeTektronix mdo3104 mixed domain oscilloscope
Tektronix mdo3104 mixed domain oscilloscope
 
Low power sar ad cs presented by pieter harpe
Low power sar ad cs presented by pieter harpeLow power sar ad cs presented by pieter harpe
Low power sar ad cs presented by pieter harpe
 
Cadence tutorial lab_2_f16
Cadence tutorial lab_2_f16Cadence tutorial lab_2_f16
Cadence tutorial lab_2_f16
 
Step by step process of uploading presentation videos
Step by step process of uploading presentation videos Step by step process of uploading presentation videos
Step by step process of uploading presentation videos
 
233466440 rg-major-project-final-complete upload
233466440 rg-major-project-final-complete upload233466440 rg-major-project-final-complete upload
233466440 rg-major-project-final-complete upload
 
435601093 s-parameter LTtspice
435601093 s-parameter LTtspice435601093 s-parameter LTtspice
435601093 s-parameter LTtspice
 
Influential and powerful professional electrical and electronics engineering ...
Influential and powerful professional electrical and electronics engineering ...Influential and powerful professional electrical and electronics engineering ...
Influential and powerful professional electrical and electronics engineering ...
 
Ki0232 3 stage fm transmitter
Ki0232 3 stage fm transmitterKi0232 3 stage fm transmitter
Ki0232 3 stage fm transmitter
 
Teager energy operator (teo)
Teager energy operator (teo)Teager energy operator (teo)
Teager energy operator (teo)
 
Teager energy operator (teo)
Teager energy operator (teo)Teager energy operator (teo)
Teager energy operator (teo)
 
En physics
En physicsEn physics
En physics
 
Beautiful lectures
Beautiful lecturesBeautiful lectures
Beautiful lectures
 
Cadence tutorial lab_2_f16
Cadence tutorial lab_2_f16Cadence tutorial lab_2_f16
Cadence tutorial lab_2_f16
 
Performance of the classification algorithm
Performance of the classification algorithmPerformance of the classification algorithm
Performance of the classification algorithm
 
Electronics i ii razavi
Electronics i ii razaviElectronics i ii razavi
Electronics i ii razavi
 
Bardeen brattain and shockley
Bardeen brattain and shockleyBardeen brattain and shockley
Bardeen brattain and shockley
 
978 1-4615-6311-2 fm
978 1-4615-6311-2 fm978 1-4615-6311-2 fm
978 1-4615-6311-2 fm
 
William gilbert strange
William gilbert strangeWilliam gilbert strange
William gilbert strange
 

Recently uploaded

一比一原版(osu毕业证书)美国俄勒冈州立大学毕业证如何办理
一比一原版(osu毕业证书)美国俄勒冈州立大学毕业证如何办理一比一原版(osu毕业证书)美国俄勒冈州立大学毕业证如何办理
一比一原版(osu毕业证书)美国俄勒冈州立大学毕业证如何办理
upoux
 
Object Oriented Analysis and Design - OOAD
Object Oriented Analysis and Design - OOADObject Oriented Analysis and Design - OOAD
Object Oriented Analysis and Design - OOAD
PreethaV16
 
LLM Fine Tuning with QLoRA Cassandra Lunch 4, presented by Anant
LLM Fine Tuning with QLoRA Cassandra Lunch 4, presented by AnantLLM Fine Tuning with QLoRA Cassandra Lunch 4, presented by Anant
LLM Fine Tuning with QLoRA Cassandra Lunch 4, presented by Anant
Anant Corporation
 
SCALING OF MOS CIRCUITS m .pptx
SCALING OF MOS CIRCUITS m                 .pptxSCALING OF MOS CIRCUITS m                 .pptx
SCALING OF MOS CIRCUITS m .pptx
harshapolam10
 
AI for Legal Research with applications, tools
AI for Legal Research with applications, toolsAI for Legal Research with applications, tools
AI for Legal Research with applications, tools
mahaffeycheryld
 
Rainfall intensity duration frequency curve statistical analysis and modeling...
Rainfall intensity duration frequency curve statistical analysis and modeling...Rainfall intensity duration frequency curve statistical analysis and modeling...
Rainfall intensity duration frequency curve statistical analysis and modeling...
bijceesjournal
 
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
shadow0702a
 
Comparative analysis between traditional aquaponics and reconstructed aquapon...
Comparative analysis between traditional aquaponics and reconstructed aquapon...Comparative analysis between traditional aquaponics and reconstructed aquapon...
Comparative analysis between traditional aquaponics and reconstructed aquapon...
bijceesjournal
 
Null Bangalore | Pentesters Approach to AWS IAM
Null Bangalore | Pentesters Approach to AWS IAMNull Bangalore | Pentesters Approach to AWS IAM
Null Bangalore | Pentesters Approach to AWS IAM
Divyanshu
 
An Introduction to the Compiler Designss
An Introduction to the Compiler DesignssAn Introduction to the Compiler Designss
An Introduction to the Compiler Designss
ElakkiaU
 
Design and optimization of ion propulsion drone
Design and optimization of ion propulsion droneDesign and optimization of ion propulsion drone
Design and optimization of ion propulsion drone
bjmsejournal
 
ITSM Integration with MuleSoft.pptx
ITSM  Integration with MuleSoft.pptxITSM  Integration with MuleSoft.pptx
ITSM Integration with MuleSoft.pptx
VANDANAMOHANGOUDA
 
Embedded machine learning-based road conditions and driving behavior monitoring
Embedded machine learning-based road conditions and driving behavior monitoringEmbedded machine learning-based road conditions and driving behavior monitoring
Embedded machine learning-based road conditions and driving behavior monitoring
IJECEIAES
 
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student MemberIEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
VICTOR MAESTRE RAMIREZ
 
Engineering Standards Wiring methods.pdf
Engineering Standards Wiring methods.pdfEngineering Standards Wiring methods.pdf
Engineering Standards Wiring methods.pdf
edwin408357
 
Properties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptxProperties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptx
MDSABBIROJJAMANPAYEL
 
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 08 Doors and Windows.pdf
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 08 Doors and Windows.pdf2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 08 Doors and Windows.pdf
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 08 Doors and Windows.pdf
Yasser Mahgoub
 
132/33KV substation case study Presentation
132/33KV substation case study Presentation132/33KV substation case study Presentation
132/33KV substation case study Presentation
kandramariana6
 
Software Engineering and Project Management - Software Testing + Agile Method...
Software Engineering and Project Management - Software Testing + Agile Method...Software Engineering and Project Management - Software Testing + Agile Method...
Software Engineering and Project Management - Software Testing + Agile Method...
Prakhyath Rai
 
Gas agency management system project report.pdf
Gas agency management system project report.pdfGas agency management system project report.pdf
Gas agency management system project report.pdf
Kamal Acharya
 

Recently uploaded (20)

一比一原版(osu毕业证书)美国俄勒冈州立大学毕业证如何办理
一比一原版(osu毕业证书)美国俄勒冈州立大学毕业证如何办理一比一原版(osu毕业证书)美国俄勒冈州立大学毕业证如何办理
一比一原版(osu毕业证书)美国俄勒冈州立大学毕业证如何办理
 
Object Oriented Analysis and Design - OOAD
Object Oriented Analysis and Design - OOADObject Oriented Analysis and Design - OOAD
Object Oriented Analysis and Design - OOAD
 
LLM Fine Tuning with QLoRA Cassandra Lunch 4, presented by Anant
LLM Fine Tuning with QLoRA Cassandra Lunch 4, presented by AnantLLM Fine Tuning with QLoRA Cassandra Lunch 4, presented by Anant
LLM Fine Tuning with QLoRA Cassandra Lunch 4, presented by Anant
 
SCALING OF MOS CIRCUITS m .pptx
SCALING OF MOS CIRCUITS m                 .pptxSCALING OF MOS CIRCUITS m                 .pptx
SCALING OF MOS CIRCUITS m .pptx
 
AI for Legal Research with applications, tools
AI for Legal Research with applications, toolsAI for Legal Research with applications, tools
AI for Legal Research with applications, tools
 
Rainfall intensity duration frequency curve statistical analysis and modeling...
Rainfall intensity duration frequency curve statistical analysis and modeling...Rainfall intensity duration frequency curve statistical analysis and modeling...
Rainfall intensity duration frequency curve statistical analysis and modeling...
 
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
 
Comparative analysis between traditional aquaponics and reconstructed aquapon...
Comparative analysis between traditional aquaponics and reconstructed aquapon...Comparative analysis between traditional aquaponics and reconstructed aquapon...
Comparative analysis between traditional aquaponics and reconstructed aquapon...
 
Null Bangalore | Pentesters Approach to AWS IAM
Null Bangalore | Pentesters Approach to AWS IAMNull Bangalore | Pentesters Approach to AWS IAM
Null Bangalore | Pentesters Approach to AWS IAM
 
An Introduction to the Compiler Designss
An Introduction to the Compiler DesignssAn Introduction to the Compiler Designss
An Introduction to the Compiler Designss
 
Design and optimization of ion propulsion drone
Design and optimization of ion propulsion droneDesign and optimization of ion propulsion drone
Design and optimization of ion propulsion drone
 
ITSM Integration with MuleSoft.pptx
ITSM  Integration with MuleSoft.pptxITSM  Integration with MuleSoft.pptx
ITSM Integration with MuleSoft.pptx
 
Embedded machine learning-based road conditions and driving behavior monitoring
Embedded machine learning-based road conditions and driving behavior monitoringEmbedded machine learning-based road conditions and driving behavior monitoring
Embedded machine learning-based road conditions and driving behavior monitoring
 
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student MemberIEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
 
Engineering Standards Wiring methods.pdf
Engineering Standards Wiring methods.pdfEngineering Standards Wiring methods.pdf
Engineering Standards Wiring methods.pdf
 
Properties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptxProperties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptx
 
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 08 Doors and Windows.pdf
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 08 Doors and Windows.pdf2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 08 Doors and Windows.pdf
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 08 Doors and Windows.pdf
 
132/33KV substation case study Presentation
132/33KV substation case study Presentation132/33KV substation case study Presentation
132/33KV substation case study Presentation
 
Software Engineering and Project Management - Software Testing + Agile Method...
Software Engineering and Project Management - Software Testing + Agile Method...Software Engineering and Project Management - Software Testing + Agile Method...
Software Engineering and Project Management - Software Testing + Agile Method...
 
Gas agency management system project report.pdf
Gas agency management system project report.pdfGas agency management system project report.pdf
Gas agency management system project report.pdf
 

A comparative study of different multiplier designs

  • 1. 1 A Comparative Study of Different Multiplier Designs Aisha Abdallah Department of Electrical and Computer Engineering, University of Sharjah ABSTRACT In this paper, a comparative study of different multiplier designs has been presented. It shows various multiplication techniques and discusses the modification that is applied to the multiplication methods. An overview about four selected multiplier designs is provided. They are compared from VLSI design performance; speed, power, and area, and the best performance is highlighted. The lowest power consumption is shown in selected CSA multiplier, also with the lowest delay with the values 0.198mW and 6psec, respectively. Hence, it is used for low-power applications. In other hand, the smallest area is achieved by selected MBE with 4030 μm2 . 1. INTRODUCTION Arithmetic logic operations, such as multiplication and addition, are one of the dominant concern in digital signal processing (DSP), multimedia, 3D graphics, microprocessor, application-specific integrated circuit (ASIC),…etc [1-3]. In DSP field, the binary multiplication is the most important operation in the hardware. Thus, multiplication method must not consume much execution time of the hardware. There are many DSP algorithms that depend on multiplication, such as digital filters, Discrete Cosine Transform (DCT), and Fast Fourier Transform (FFT). Searching for ace multiplier lands on three features; high-speed and low- power. These features have tradeoff among them, but a compromise design can be achieved. There are three basic steps in multiplication which are partial products generation, partial products additions (reduction), and final addition [4]. There are many different and modified architecture designs of multiplier. This paper will present a comparison among different multipliers from three important considerations of VLSI design which are power, area, and delay. This paper is organized as follows. Section 2 gives basic background about several multiplication techniques. Overview about four different designed multipliers is provided in Section 3. Section 4 summarizes the comparison of the discussed multipliers. The conclusion is drawn in Section 5. 2. MULTIPLICATION TECHNIQUES There are various techniques to do binary multiplication. Also, there exist many modifications done to these techniques. Binary array multiplier, shown in Figure 1, consists of AND gates, half adders, and full adders. For N-bit×N-bit unsigned numbers, it needs N2 AND gates, N half adders, and N(N-2) full adders [5]. It uses ripple carry adder in which the carry-out of each adder is rippled to be the carry-in for the next adder. To multiply both unsigned and signed numbers, radix-4 Modified Booth Encoder (MBE) is one of the methods to do that. It groups three consecutive bits of the multiplier and matches each group to partial products table, given by Table 1 [1]. Thus, it reduces number of partial products and so the numbers of adders. Carry Save Adder (CSA) multiplier consists of half adders and full adders. It is similar to ripple carry adder but the carry-out vector is saved to be combined with the output of sum later. The half adder can be designed as depicted in Figure 2, which is known as multiplexing method. It is built using CPL (Complementary Pass-transistor Logic) that reduces number of transistors and consists only of NMOS. Thus, it provides low capacitance and yields to high speed (low delay) and low power. Also, half adder can be implemented using MCIT (Multiplexing Control Input Technique). It has less number of transistors comparing with CMOS but it is more than CPL [2]. Another type of multiplier is Braun multiplier, which consists of array of N2 AND gates and array of N(N-1) full adder. It is used only for unsigned numbers. The generated partial products are inputs to cascaded CSA. A modified version of Braun multiplier is called Baugh-Wooley multiplier, in which it is used for unsigned and signed numbers represented in 2’complement [2]. 3. OVERVIEW ABOUT FOUR DIFFERENT DESIGNED MULTIPLIERS Four types of multipliers which are proposed in [1], [2], [3], and [4] will be discussed and compared from different point of view of VLSI design parameters; area, delay, and power, and used technology. Each design shows a modification done on the conventional multiplier to enhance its performances.
  • 2. 2 Figure 1. Binary array multiplier [5]. Figure 2. Half adder using CPL [2]. 3.1. A logarithmic time method of 2’s complement representation A fast MBE is achieved by using a new 2’s complement representation, given in [1]. It starts by finding conversion signal which groups, starting from LSB, two consecutive bit each level; 2, 4, 8,…,2n. After each group, if the rightmost digit is ‘1’ in each group then it masks the left-bits to ‘1’, otherwise they are unchanged. Then 2’s complement is obtained by adding the final conversion signal to the input. This leads to have a well- organized diamond-shape of partial product tree. The last row in multiplication scheme is 2’s complement with partial product selection. Figure 3 summarizes the new technique to find 2’s complement. Using 3-5 coder selects the possible input value using Table 1. The 2’s complement is generated concurrently with MBE and 3- 5 coder. This technique reduces partial products rows and minimizes the total multiplication operating time [1]. 3.2. Multipliers using a Shannon-based adder The Shannon-based adder relies on Shannon Theorem, which basically uses the multiplexers to represent the function as a sum of two sub-functions in term of one or more input variables and its negation. In [2], this proposed type of adder is applied to Braun, Baugh- Wooley, and CSA multipliers. Figure 4 shows the Shannon-based adder used in [2]. Using X-OR and X- NOR gates constructed by CPL offers less number of transistors in the sum circuit but the overall number of transistors is large [2]. For the same multiplier type, the designed adder grabs the best performance among other adders; i.e. MCIT, CPL-12T, and mixed Shannon except in the area and number of transistors where mixed Shannon is preferable. The lowest power dissipation and delay is obtained by CSA because it uses half-adder circuit. The significant advantages of the proposed multipliers show in low power dissipation and high speed comparing to other 8x8 multipliers. 3.3. Pair-wise algorithm The introduced pair-wise algorithm in [3] reduces the 8x8 bits complex mathematic multiplication in two steps without using encoder; generation of partial products and partial products addition. Each number in multiplication is separated into even and odd numbers, each one n-bit, so that the addition of them gives the original number. Then, multiplication of the two numbers, represented in even and odd, is done pairwise. The results are Pee, Peo, Poe, and Poo. Each result has even partial products is divided into a sum of even and odd and added using 3:2 adder to make reduction in number of partial products. The result at this stage is A and B. x7y1, x2y7, x2y8, and x7y2, are sparse bits are to M and N which are originally 13-bit and 15-bit zeros, respectively. Poo is grouped with M and N to get another two numbers. The final result is obtained as the output of CLA. The addition step is depicted in Figure 5. The multiplier consists of full adder, half adder, and CLA. The proposed full adder circuit is X-OR constructed using CPL-10T. This design gives low power dissipation and high speed as the comparison done in [3]. 3.4. Modified binary array multiplier In [5], the traditional binary array multiplier is altered by replacing the last full adder with the CLA adder. It provides a fast computation for the carry-in of each previous stage. The sum and carry for a four bit adder is expressed as in (1-2), respectively. Equation (3-4) defines two terms; the generation carry and propagation carry, respectively. Gi occurs when carry-out is generated Groups of multiplier digits Partial products 000 0 001 1*multiplicand 010 1*multiplicand 011 2*multiplicand 100 -2*multiplicand 101 -1*multiplicand 110 -1*multiplicand 111 0 Table 1. Radix-4 Modified Booth Encoder [1]
  • 3. 3 Figure 3. A new technique of finding 2’complement [1]. Figure 4. The proposed Shannon-based adder cell [2] Figure 5. The partial product addition [3] internally in the full adder. Pi is produced when carry-in appears. For 4-bit adder, this can be shown as (5-8). The speed in the conventional array multiplier depends on propagation time of carries in all stages, while, using CLA adder, it eliminates the propagation delay of the carries realized in the addition. The carry-out bits are found ahead based on inputs and initial carry-in without waiting for the full adder output to be found in each stage. Thus, a faster partial product is generated and a speeder adder is obtained. Sum = Xi ⊕Yi ⊕ Ci (1) Cout,i+1 = Gi + Pi · Ci (2) Gi = Xi·Yi (3) Pi = Xi ⊕ Yi. (4) Cout,1 = G0 + P0 · C0 (5) Cout,2 = G1 + P1 · Cout,1 (6) Cout,3 = G2 + P2 · Cout,2 (7) Cout,4 = G3 + P3 · Cout,3. (8) 4. COMPARISON OF THE FOUR TYPES OF MULTIPLIER DESIGNS Different techniques and modifications have been discussed to preform multiplication. Each one provides advantages and tradeoffs among several parameter performances. Power, area, and delay are the three essential performances in VLSI design. The comparison among the discussed multipliers is summarized in Table 1 and Table 2. All references simulate and test for 8- bitx8-bit multiplier except [4]. The lowest delay and lowest power dissipation is resulted from [2] using CSA multiplier, in which delay is 6psec and power dissipation is 0.198mW. Thus, this multiplier is preferable for high speed and low power devices. Reference [1] is the good path to implement multiplier in an area consideration environment, where the occupied area is 4030μm2 . 1. CONCLUSION In this paper, different types of multipliers are compared. Each one shows a new method or a modification on traditional multipliers. They are implemented using different technologies. The lowest power consumption is CSA multiplier [2], also with the lowest delay with the values 0.198mW and 6psec, respectively. Hence, it is used for low-power applications. Obviously, the smallest area is achieved by MBE [1] with 4030 μm2 . Table 1. Comparison of discussed multipliers showing their types, modification, and process. Reference Type of multiplier Type of modification Process [1] MBE (8-bitx8-bit) Fast multiplication in 2’s complement representation Artisan TSMC 0.13μm [2] Baugh- Wooley (8-bitx8-bit) Shannon-based adder 90nm CMOS Braun (8-bitx8-bit) CSA (8-bitx8-bit) [3] Pair-wise algorithm (8-bitx8-bit) 10-transistor full adder cell 6 Metal 0.18μm Digital CMOS [4] Array (4-bitx4-bit) CLA adder - +
  • 4. 4 Table 2. Comparison of discussed multipliers from VLSI design parameter performances. Type of multiplier Power consumption (mW) Delay (ps) Area (μm2 ) MBE (8-bitx8-bit) 6.3876 3030 4030 Baugh- Wooley (8-bitx8-bit) 0.816 37 6230 Braun (8-bitx8-bit) 0.307 16 21672 CSA (8-bitx8-bit) 0.198 6 32316 Pair-wise algorithm (8-bitx8-bit) 0.64 1256 14267 Array (4-bitx4-bit) 68.49 16620 - REFERENCES [1] J.-Y. Kang, and J.-L. Gaudiot, “A Simple High-Speed Multiplier Design,” IEEE Transaction on Computers, vol. 55, no. 10, pp.1253-1258, Oct. 2006. [2] C. Senthilpari, K. Diwakar, and A. K. Singh, “High Speed and High Throughput 8x8 Bit Multiplier using a Shannon-Based Adder Cell,” in TENCON 2009-2009 IEEE Region 10 Conference, Singapore, pp. 1-5, Jan. 2009. [3] L. Jayaraju, B. N. Rao, and A. V. Rao, “0.69mW, 700MHz Novel 8x8 Digital Multiplier,” International Journal of Computer Theory and Engineering, vol. 3, no. 5, pp. 662-665, Oct. 2011. [4] R. Dhanabal, V. Bharathi, N. Anand, G. Joseph, S. Oommen, and S. Sahoo, “Comparison of Existing Multipliers and Proposal of a New Design for Optimized Performance, ” International Journal of Engineering and Technology(IJET), vol. 5, no. 2, pp. 1704-1709, May 2013. [5] S. R. Vaidya, and D. R. Dandekar, “Performance Comparison of Multipliers for Power-Speed Trade-off in VLSI Design,” in Recent Advances in Networking, VLSI and Signal Processing, University of Cambridge, UK, pp. 262-266, Feb. 2010.