SlideShare a Scribd company logo
1 of 25
E2MATRIX
Contact : +91 9056501501,9915525860
Web: www.e2matrix.com
Email: mohali.support@e2matrix.com
E2MATRIX
2. Introduction to VLSI
Stands for Very Large Scale Integration.
Process of creating integrated circuits by
combining thousands of transistor-based circuits
into a single chip.
Design/Manufacturing of extremely small,
complex circuit modified semiconductor material.
Language used for designing VLSI circuit
 VHDL
 Verilog
Basic difference between VHDL and Verilog
VHDL Verilog
1. Not Case Sensitive 1. Case sensitive
2. Difficult to learn 2. Easy to learn
3. Based on Pascal 3. Based on C
3. Software Used in VLSI Design
DSCH
 XILINX
ALTERA
MICROWIND
Use of DSCH
Three types of designs:
Gate Level Design
Chip Level Design
CMOS Level Design
3. Software Used in VLSI Design (Contd.)
USE OF XILINX AND ALTERA
Through VHDL and Verilog
 Data Flow
 Behavioural
 Structural
3. Software Used in VLSI Design (Contd.)
USE OF MICROWIND
Microwind Software is used for layout
design of various circuits.
3. Software Used in VLSI Design (Contd.)
4. VLSI Design Hierarchy
Flow diagram
Algorithm design
Design Entry
Fundamental
simulation
Specification
Specify what to design.
Design an Algorithm to
implement in software.
Enter the design in
computer system, so that it
can be compiled by the
design software.
After completion of entry
into computer, simulate to
see the result.
5. Basic VHDL Code
Library declaration
Entity
Architecture
Configuration
Library Declaration
For example-
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_arith.all;
Use ieee.std_logic_unsigned.all;
Library Library_name;
Use library_name.package_name.package_parts;
5. Basic VHDL Code (Contd.)
Entity & Port Declaration
For example-
Entity and_gate is
Port ( a, b : in std_logic;
y : out std_logic);
End and_gate;
Entity<entity_ name> is
Port (port_name:<direction><data_type>;
port_name:<direction><data_type>);
End<entity_name>;
5. Basic VHDL Code (Contd.)
Architecture Declaration
Architecture< architecture_name> of <entity_name>
is
begin
.
.
.
.
.
.
.
.
end architecture_name
5. Basic VHDL Code (Contd.)
Types of VHDL Architecture
 Data flow
-It uses concurrent signal assignment statement.
- It describes the transfer of data from input to output
signals.
 Behavioral
- It is a high level description.
- It contains a set of assignment statement to represent behaviour.
 Structural
- Describe the circuit structure in terms of logic gates
- Interconnects wiring between logic gates to form a circuit net
list.
5. Basic VHDL Code (Contd.)
6.Verilog using Altera:
• Dataflow:
syntax
module<module name>(port name);
input<input names>;
output<output names>;
{
program part
}
end module
6.Verilog using Altera(contd.):
• Behavioral:
syntax:
module<module name>(port list);
input<input names>;
output<output names>;
Reg <output name>;
always@<input name>;
begin
{
program part
}
end
end module
6.Verilog using Altera(contd.):
• Structural:
syntax:
module<module name>(port list);
input<input names>;
output<output names>;
wire <port name>;
<component name><level>(port mapping)
end module
6.Verilog using Altera(contd.):
 Syntax for clock:
syntax:
module<module name>(port list);
input<input names>;
output<output names>;
Reg <output name>;
always@(posedge clk)
begin
{
program part
}
end module
7.Design using Microwind
 Microwind is a windows tool for designing and
simulating microelectronic circuits at layout
level.
 Process: diffusion, poly-sillicon, pads, deep
submicron CMOS design and n-well process.
Microwind Window
7.Design using Microwind(contd.)
Microwind Design (For CMOS inverter)
7.Design using Microwind(contd.)
8.PROGRAMMABLE LOGIC DEVICE (PLD)
A PLD is used to build reconfiguration of
the digital circuits.
TYPES OF PLD
SIMPLE PROGRAMMABLE LOGIC DEVICE
(SPLD)
COMPLEX PROGRAMMABLE LOGIC DEVICE
(CPLD)
FIELD-PROGRAMMABLE GATE ARRAY (FPGA)
9.DOWNLOADING PROCESS ON PLD USING
XILINX
Write your program.
 Then check the property of PLD.
Browse on user constraints and double
click on assign package pins.
Then give pin numbers as input and
output.
Then save it.
DOWNLOADING PROCESS ON PLD USING
XILINX (Contd.)
Then browse on generate programming file.
Double click on configure device.
Finish and ok.
Then select file and then open and then ok.
Right click on your Xilinx and click on
program and then apply and then ok.
10.Conclusion
 Learned the various technology, application and scope of VLSI.
 Learned about the applications of VLSI design softwares and programming
languages .
 Downloading in PLD (Programmable Logic Device).
 Knew that there is tremendous scope and growth for those who choose VLSI
design as a career.
Xilinx training in mohali

More Related Content

What's hot

What's hot (20)

Vhdl programming
Vhdl programmingVhdl programming
Vhdl programming
 
VHDL CODES
VHDL CODES VHDL CODES
VHDL CODES
 
Basics of Vhdl
Basics of VhdlBasics of Vhdl
Basics of Vhdl
 
Proposal for final project
Proposal for final projectProposal for final project
Proposal for final project
 
Report on VLSI
Report on VLSIReport on VLSI
Report on VLSI
 
VLSI VHDL
VLSI VHDLVLSI VHDL
VLSI VHDL
 
VLSI
VLSIVLSI
VLSI
 
Introduction to FPGA, VHDL
Introduction to FPGA, VHDL  Introduction to FPGA, VHDL
Introduction to FPGA, VHDL
 
Vhdl
VhdlVhdl
Vhdl
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
 
vhdl
vhdlvhdl
vhdl
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
Chapter 5 introduction to VHDL
Chapter 5 introduction to VHDLChapter 5 introduction to VHDL
Chapter 5 introduction to VHDL
 
ASIC Design and Implementation
ASIC Design and ImplementationASIC Design and Implementation
ASIC Design and Implementation
 
Fpga
FpgaFpga
Fpga
 
Design options for digital systems
Design options for digital systemsDesign options for digital systems
Design options for digital systems
 
VLSI
VLSIVLSI
VLSI
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners
 
Himanshu Shivhar (1)
Himanshu Shivhar (1)Himanshu Shivhar (1)
Himanshu Shivhar (1)
 
VHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLVHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDL
 

Viewers also liked

VLSI projects 2014
VLSI projects 2014VLSI projects 2014
VLSI projects 2014Senthilvel S
 
Smartboard & notebook essential training
Smartboard & notebook essential trainingSmartboard & notebook essential training
Smartboard & notebook essential trainingKSUPY-EdTech Unit
 
20151119 ABX Engagement Presentation
20151119 ABX Engagement Presentation20151119 ABX Engagement Presentation
20151119 ABX Engagement PresentationPrinciple Group
 
Nolting_Resume_EBTH_EDITOR
Nolting_Resume_EBTH_EDITORNolting_Resume_EBTH_EDITOR
Nolting_Resume_EBTH_EDITORJonathan Nolting
 
Foro 1 taller 1 validez y confiabilidad
Foro 1 taller 1 validez y confiabilidadForo 1 taller 1 validez y confiabilidad
Foro 1 taller 1 validez y confiabilidadJose Acosta
 
Dashboard Samples and Data Challenges Shahriar Taahbaz
Dashboard Samples and Data Challenges Shahriar TaahbazDashboard Samples and Data Challenges Shahriar Taahbaz
Dashboard Samples and Data Challenges Shahriar TaahbazShahriar Taahbaz, MBA
 
Mini Project on 4 BIT SERIAL MULTIPLIER
Mini Project on 4 BIT SERIAL MULTIPLIERMini Project on 4 BIT SERIAL MULTIPLIER
Mini Project on 4 BIT SERIAL MULTIPLIERj naga sai
 
ieee projects list
ieee projects listieee projects list
ieee projects list8130809758
 
Mt s8 wbt_test_designtechniques
Mt s8 wbt_test_designtechniquesMt s8 wbt_test_designtechniques
Mt s8 wbt_test_designtechniquesTestingGeeks
 
VLSI Design Final Project - 32 bit ALU
VLSI Design Final Project - 32 bit ALUVLSI Design Final Project - 32 bit ALU
VLSI Design Final Project - 32 bit ALUSachin Kumar Asokan
 
Design and development of carry select adder
Design and development of carry select adderDesign and development of carry select adder
Design and development of carry select adderABIN THOMAS
 
Low power & area efficient carry select adder
Low power & area efficient carry select adderLow power & area efficient carry select adder
Low power & area efficient carry select adderSai Vara Prasad P
 
Design & implementation of high speed carry select adder
Design & implementation of high speed carry select adderDesign & implementation of high speed carry select adder
Design & implementation of high speed carry select adderssingh7603
 
Project report on design & implementation of high speed carry select adder
Project report on design & implementation of high speed carry select adderProject report on design & implementation of high speed carry select adder
Project report on design & implementation of high speed carry select adderssingh7603
 
Vlsi mini project list 2013
Vlsi mini project list 2013Vlsi mini project list 2013
Vlsi mini project list 2013Vision Solutions
 
Design and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilogDesign and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilogSTEPHEN MOIRANGTHEM
 

Viewers also liked (20)

VLSI projects 2014
VLSI projects 2014VLSI projects 2014
VLSI projects 2014
 
Smartboard & notebook essential training
Smartboard & notebook essential trainingSmartboard & notebook essential training
Smartboard & notebook essential training
 
20151119 ABX Engagement Presentation
20151119 ABX Engagement Presentation20151119 ABX Engagement Presentation
20151119 ABX Engagement Presentation
 
Nolting_Resume_EBTH_EDITOR
Nolting_Resume_EBTH_EDITORNolting_Resume_EBTH_EDITOR
Nolting_Resume_EBTH_EDITOR
 
Love cocktail
Love cocktailLove cocktail
Love cocktail
 
Foro 1 taller 1 validez y confiabilidad
Foro 1 taller 1 validez y confiabilidadForo 1 taller 1 validez y confiabilidad
Foro 1 taller 1 validez y confiabilidad
 
Dashboard Samples and Data Challenges Shahriar Taahbaz
Dashboard Samples and Data Challenges Shahriar TaahbazDashboard Samples and Data Challenges Shahriar Taahbaz
Dashboard Samples and Data Challenges Shahriar Taahbaz
 
Mini Project on 4 BIT SERIAL MULTIPLIER
Mini Project on 4 BIT SERIAL MULTIPLIERMini Project on 4 BIT SERIAL MULTIPLIER
Mini Project on 4 BIT SERIAL MULTIPLIER
 
ieee projects list
ieee projects listieee projects list
ieee projects list
 
07 e r model
07 e r model07 e r model
07 e r model
 
BIM - what 2016 means for Manufacturers
BIM - what 2016 means for ManufacturersBIM - what 2016 means for Manufacturers
BIM - what 2016 means for Manufacturers
 
Mt s8 wbt_test_designtechniques
Mt s8 wbt_test_designtechniquesMt s8 wbt_test_designtechniques
Mt s8 wbt_test_designtechniques
 
VLSI Design Final Project - 32 bit ALU
VLSI Design Final Project - 32 bit ALUVLSI Design Final Project - 32 bit ALU
VLSI Design Final Project - 32 bit ALU
 
Design and development of carry select adder
Design and development of carry select adderDesign and development of carry select adder
Design and development of carry select adder
 
Low power & area efficient carry select adder
Low power & area efficient carry select adderLow power & area efficient carry select adder
Low power & area efficient carry select adder
 
Introductory receptor pharmacology_2014-15_jap
Introductory receptor pharmacology_2014-15_japIntroductory receptor pharmacology_2014-15_jap
Introductory receptor pharmacology_2014-15_jap
 
Design & implementation of high speed carry select adder
Design & implementation of high speed carry select adderDesign & implementation of high speed carry select adder
Design & implementation of high speed carry select adder
 
Project report on design & implementation of high speed carry select adder
Project report on design & implementation of high speed carry select adderProject report on design & implementation of high speed carry select adder
Project report on design & implementation of high speed carry select adder
 
Vlsi mini project list 2013
Vlsi mini project list 2013Vlsi mini project list 2013
Vlsi mini project list 2013
 
Design and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilogDesign and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilog
 

Similar to Xilinx training in mohali

Similar to Xilinx training in mohali (20)

Verilog
VerilogVerilog
Verilog
 
VLSI Training presentation
VLSI Training presentationVLSI Training presentation
VLSI Training presentation
 
Embedded system
Embedded systemEmbedded system
Embedded system
 
Basics of digital verilog design(alok singh kanpur)
Basics of digital verilog design(alok singh kanpur)Basics of digital verilog design(alok singh kanpur)
Basics of digital verilog design(alok singh kanpur)
 
Ravikanth Resume
Ravikanth ResumeRavikanth Resume
Ravikanth Resume
 
Vhdl new
Vhdl newVhdl new
Vhdl new
 
VERY LARGE SCALE INTEGRATION (VLSI) TECHNOLOGY
VERY LARGE SCALE INTEGRATION (VLSI) TECHNOLOGYVERY LARGE SCALE INTEGRATION (VLSI) TECHNOLOGY
VERY LARGE SCALE INTEGRATION (VLSI) TECHNOLOGY
 
Verilog overview
Verilog overviewVerilog overview
Verilog overview
 
Digital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxDigital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptx
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Digital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationDigital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA Implementation
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Introduction to HDLs
Introduction to HDLsIntroduction to HDLs
Introduction to HDLs
 
Digital System Design-Gatelevel and Dataflow Modeling
Digital System Design-Gatelevel and Dataflow ModelingDigital System Design-Gatelevel and Dataflow Modeling
Digital System Design-Gatelevel and Dataflow Modeling
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
AMIT Resume
AMIT  ResumeAMIT  Resume
AMIT Resume
 
6 months/weeks training in Vlsi,jalandhar
6 months/weeks training in Vlsi,jalandhar6 months/weeks training in Vlsi,jalandhar
6 months/weeks training in Vlsi,jalandhar
 
6 weeks/months summer training in vlsi,ludhiana
6 weeks/months summer training in vlsi,ludhiana6 weeks/months summer training in vlsi,ludhiana
6 weeks/months summer training in vlsi,ludhiana
 
Lecture2 vhdl refresher
Lecture2 vhdl refresherLecture2 vhdl refresher
Lecture2 vhdl refresher
 
Poster_example
Poster_examplePoster_example
Poster_example
 

Recently uploaded

Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxNirmalaLoungPoorunde1
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxmanuelaromero2013
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeThiyagu K
 
Hybridoma Technology ( Production , Purification , and Application )
Hybridoma Technology  ( Production , Purification , and Application  ) Hybridoma Technology  ( Production , Purification , and Application  )
Hybridoma Technology ( Production , Purification , and Application ) Sakshi Ghasle
 
The basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxThe basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxheathfieldcps1
 
Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)eniolaolutunde
 
Accessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactAccessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactdawncurless
 
_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting Data_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting DataJhengPantaleon
 
Presiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsPresiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsanshu789521
 
PSYCHIATRIC History collection FORMAT.pptx
PSYCHIATRIC   History collection FORMAT.pptxPSYCHIATRIC   History collection FORMAT.pptx
PSYCHIATRIC History collection FORMAT.pptxPoojaSen20
 
Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Educationpboyjonauth
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityGeoBlogs
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3JemimahLaneBuaron
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13Steve Thomason
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingTechSoup
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introductionMaksud Ahmed
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptxVS Mahajan Coaching Centre
 
Science 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsScience 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsKarinaGenton
 
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxPOINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxSayali Powar
 

Recently uploaded (20)

Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptx
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptx
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and Mode
 
Hybridoma Technology ( Production , Purification , and Application )
Hybridoma Technology  ( Production , Purification , and Application  ) Hybridoma Technology  ( Production , Purification , and Application  )
Hybridoma Technology ( Production , Purification , and Application )
 
The basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxThe basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptx
 
Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)
 
Accessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactAccessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impact
 
_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting Data_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting Data
 
Presiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsPresiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha elections
 
PSYCHIATRIC History collection FORMAT.pptx
PSYCHIATRIC   History collection FORMAT.pptxPSYCHIATRIC   History collection FORMAT.pptx
PSYCHIATRIC History collection FORMAT.pptx
 
Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Education
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activity
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy Consulting
 
Staff of Color (SOC) Retention Efforts DDSD
Staff of Color (SOC) Retention Efforts DDSDStaff of Color (SOC) Retention Efforts DDSD
Staff of Color (SOC) Retention Efforts DDSD
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
 
Science 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsScience 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its Characteristics
 
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxPOINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
 

Xilinx training in mohali

  • 1. E2MATRIX Contact : +91 9056501501,9915525860 Web: www.e2matrix.com Email: mohali.support@e2matrix.com E2MATRIX
  • 2. 2. Introduction to VLSI Stands for Very Large Scale Integration. Process of creating integrated circuits by combining thousands of transistor-based circuits into a single chip. Design/Manufacturing of extremely small, complex circuit modified semiconductor material. Language used for designing VLSI circuit  VHDL  Verilog
  • 3. Basic difference between VHDL and Verilog VHDL Verilog 1. Not Case Sensitive 1. Case sensitive 2. Difficult to learn 2. Easy to learn 3. Based on Pascal 3. Based on C
  • 4. 3. Software Used in VLSI Design DSCH  XILINX ALTERA MICROWIND
  • 5. Use of DSCH Three types of designs: Gate Level Design Chip Level Design CMOS Level Design 3. Software Used in VLSI Design (Contd.)
  • 6. USE OF XILINX AND ALTERA Through VHDL and Verilog  Data Flow  Behavioural  Structural 3. Software Used in VLSI Design (Contd.)
  • 7. USE OF MICROWIND Microwind Software is used for layout design of various circuits. 3. Software Used in VLSI Design (Contd.)
  • 8. 4. VLSI Design Hierarchy Flow diagram Algorithm design Design Entry Fundamental simulation Specification Specify what to design. Design an Algorithm to implement in software. Enter the design in computer system, so that it can be compiled by the design software. After completion of entry into computer, simulate to see the result.
  • 9. 5. Basic VHDL Code Library declaration Entity Architecture Configuration
  • 10. Library Declaration For example- Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_arith.all; Use ieee.std_logic_unsigned.all; Library Library_name; Use library_name.package_name.package_parts; 5. Basic VHDL Code (Contd.)
  • 11. Entity & Port Declaration For example- Entity and_gate is Port ( a, b : in std_logic; y : out std_logic); End and_gate; Entity<entity_ name> is Port (port_name:<direction><data_type>; port_name:<direction><data_type>); End<entity_name>; 5. Basic VHDL Code (Contd.)
  • 12. Architecture Declaration Architecture< architecture_name> of <entity_name> is begin . . . . . . . . end architecture_name 5. Basic VHDL Code (Contd.)
  • 13. Types of VHDL Architecture  Data flow -It uses concurrent signal assignment statement. - It describes the transfer of data from input to output signals.  Behavioral - It is a high level description. - It contains a set of assignment statement to represent behaviour.  Structural - Describe the circuit structure in terms of logic gates - Interconnects wiring between logic gates to form a circuit net list. 5. Basic VHDL Code (Contd.)
  • 14. 6.Verilog using Altera: • Dataflow: syntax module<module name>(port name); input<input names>; output<output names>; { program part } end module
  • 15. 6.Verilog using Altera(contd.): • Behavioral: syntax: module<module name>(port list); input<input names>; output<output names>; Reg <output name>; always@<input name>; begin { program part } end end module
  • 16. 6.Verilog using Altera(contd.): • Structural: syntax: module<module name>(port list); input<input names>; output<output names>; wire <port name>; <component name><level>(port mapping) end module
  • 17. 6.Verilog using Altera(contd.):  Syntax for clock: syntax: module<module name>(port list); input<input names>; output<output names>; Reg <output name>; always@(posedge clk) begin { program part } end module
  • 18. 7.Design using Microwind  Microwind is a windows tool for designing and simulating microelectronic circuits at layout level.  Process: diffusion, poly-sillicon, pads, deep submicron CMOS design and n-well process.
  • 19. Microwind Window 7.Design using Microwind(contd.)
  • 20. Microwind Design (For CMOS inverter) 7.Design using Microwind(contd.)
  • 21. 8.PROGRAMMABLE LOGIC DEVICE (PLD) A PLD is used to build reconfiguration of the digital circuits. TYPES OF PLD SIMPLE PROGRAMMABLE LOGIC DEVICE (SPLD) COMPLEX PROGRAMMABLE LOGIC DEVICE (CPLD) FIELD-PROGRAMMABLE GATE ARRAY (FPGA)
  • 22. 9.DOWNLOADING PROCESS ON PLD USING XILINX Write your program.  Then check the property of PLD. Browse on user constraints and double click on assign package pins. Then give pin numbers as input and output. Then save it.
  • 23. DOWNLOADING PROCESS ON PLD USING XILINX (Contd.) Then browse on generate programming file. Double click on configure device. Finish and ok. Then select file and then open and then ok. Right click on your Xilinx and click on program and then apply and then ok.
  • 24. 10.Conclusion  Learned the various technology, application and scope of VLSI.  Learned about the applications of VLSI design softwares and programming languages .  Downloading in PLD (Programmable Logic Device).  Knew that there is tremendous scope and growth for those who choose VLSI design as a career.