SlideShare a Scribd company logo
1 of 22
VHDL
Dr. Vandana Malode
1Source : open source free internet
Content
• VLSI Design flow
• Level of abstraction
• Types of HDL
• Compare VHDL and Verilog
2Source : open source free internet
3Source : open source free internet
Simulation Vs Synthesis
4
Front End Procedure Back end procedure
Correctness of program(code) Concentration on Chip Design
Functionality of Description
Apply I/P o/p
Ex. Full Adder (2HA+1OR )
Not check
Power,Delay,Performance,Size
Size,Power,Delay , performance
Tools: Modelsim, Questasim,
Front end Mentor graphics
Tools: Xilinx , cadence, Synopsis,
Backend Mentor graphics
Source : open source free internet
Logic Simulation
• A simulator interprets the HDL description and produces a
readable output, such as a timing diagram, that predicts
how the hardware will behave before its is actually
fabricated.
• Simulation allows the detection of functional errors in a
design without having to physically create the circuit.
5Source : open source free internet
Motivation
• The benefits of integrating analogue circuitry with digital
logic include:
• Higher performance
• Lower power consumption
• Less mass
• Reduced costs
• Improved reliability
• Greater levels of reusability
• Enhanced system testing and quality
• All of these benefits contribute to the spirit of “Faster,
Better, Cheaper”!
• All of these offer the potential to advance mixed-signal
microelectronics for space applications
6Source : open source free internet
View by Chip Technology
7
ARTIX7
KINTEX7
VIRTEX7
ZYNQ
SPARTAN-6
Source : open source free internet
Concept of module or chip
8Source : open source free internet
9
Levels of Abstraction
1970 1980 1990 2000+
Abstraction
Circuit Level
Gate Level
RTL
RT Level
(Module)
RTL SW
System Level
Source : open source free internet
10Source : open source free internet
Types of VHDL
• VHDL : VHSIC –HDL
VHSIC: Very High Speed Integrated Circuit- Hardware Description
Language.
It is a Hardware Description Language used in electronic design
automation to describe digital and mixed-signal systems.
VHDL is a description and simulation language like ada , panda, pascal
• Verilog HDL: Verilog Hardware Description Language.(like ‘C’
language)
HDL: Designed by IBM, Texas Instruments, and Intermetrics for
united states Air force .VHSIC program launched in 1980.
Standardized by the IEEE in 1987: IEEE 1076-1987
• TOOLS: Xilinx, Atmel, Altera, Mentor Graphics, Cadence
11Source : open source free internet
Compare VHDL and VERILOG
12
VHDL VERILOG
Entity, Multiple architecture
description
Only Module Declaration
Ada based constructs , not case
sensitive language
C language, case-sensitive
Harder to learn and use Easy to learn and use , Fast simulation
VHDL is strongly type language Verilog is weakly type language
User-defined, physical, enumeration
or pointer types is available and
required 9-valued logic
User-defined, physical, enumeration
or pointer types is not available and
required 4-valued logic
Very good FPGA library support. Better availability of ASIC library
support
Gate level , data flow and Behavioral
modeling
Gate level , data flow and Behavioral
modeling
Source : open source free internet
So Choose language (HDL) based on Trend and area of work
13Source : open source free internet
IEEE STANDARD 1164
To use standard logic data types place at top of source file
– LIBRARY ieee; -- library
– USE ieee.std_logic_1164.ALL; -- package
• Provides a standard data type
(std_logic) in VHDL - nine values
– U unitialized(Default value)
– ‘X’ strong drive , unknown logic
value
– ‘0’ strong drive, logic 0
– ‘1’ forcing, logic 1
– Z high impedance ( For tri-state
logic)
– ‘W’ weak unknown logic value
– ‘L’ weak drive, logic 0
– ‘H’ weak drive, logic 1
– ‘-’ don’t care
• Provides a standard data type
(std_logic) in Verilog - Four
values
– X forcing unknown
– 0 forcing logic 0
– 1 forcing logic 1
– Z high impedance
14Source : open source free internet
Entity
• The entity defines the external view of the component. It provides
system’s interface specification as seen from the outside.
• PORTS are the communication links between entities or connections to
the device pins
Syntax For Entity
entity EntityName is
port (port list Input and output);
end EntityName;
 Note the use of libraries before entity description
Libray ieee;
USE ieee.std_logic_1164.all;
ENTITY andgate IS
PORT (a, b : IN std_logic;
y : OUT std_logic);
END andgate;
15Source : open source free internet
Architecture
• The Architecture defines the function or behavior or structure of
the ENTITY
• Consists of
– concurrent statements
– Sequential statements
• An entity may have several architectures Multiple Architecture
Syntax For Architecture
Architecture ArchitectureName of EntityName is
begin
-- signal declarations
-- concurrent statements
end ArchitectureName;
16
Entity
A B C
Architecture behavior OF andgate is
Begin
y <= a and b;
END behavior;
Source : open source free internet
Complete VHDL Code for AND Gate
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
Entity andgate is
Port (a, b : IN std_logic;
y : OUT std_logic);
End andgate;
Architecture behavior OF andgate is
Begin
Y<= a and b;
End behaviour;
• Architecture behavior END behavior;
17Source : open source free internet
Entity and Architecture
Example: a 2-input multiplexer
18Source : open source free internet
Data objects
19
Elements
Identifiers
Data
objects
Constants
(Global)
Signals
(Global)
Variables
(Local)
Data types
Objects are used to represent and store the data in the system being described in VHDL.
Example- SIGNAL COUNT: INTEGER
Class
Object
Data Type
Source : open source free internet
CLASS: Each object belong to one of following class:
 Constant: Hold values that cannot be changed within a
design.
Syntax: Constant constant name: Data Type := Value;
Example: 1] constant width: integer :=8;
2] constant Clk_Period: Time := 15 ns;
Continue 20
• Constant
• Signals
• Variables
Source : open source free internet
CLASS
• Variable: A variable is an object with single value.
• Values can be change during simulation through the variable
assignment statements.
• A variable declaration include one or more identifiers.
• Variable used in Process not in architecture.
• Internal representation used by programmers; do not exist
physically.
• Syntax: variable identifier_list: type [range_expr] [:=expression];
Example: 1] variable A,B: Std_logic;
2] Variable sum : std_logic-vector( 0 to 7);
21
Variable name
Source : open source free internet
CLASS
 Signal: To represent wire connections within circuit.
Signal is an object within past history of values.
Signal have multiple drivers each with a current value and
projected future value. Signal Describing H/W sytsem.
Syntax: Signal identifier_list: type [range_expr] [:=expression];
Example: 1] signal count: STD_LOGIC_VECTOR (3 downto 0);
2] signal Gate-Delay: Time:=10ns;
-- count means 4 wires; they are count(3),count(2), count(1), count(0).
22Source : open source free internet

More Related Content

What's hot

What's hot (20)

How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDL
 
Spdas2 vlsibput
Spdas2 vlsibputSpdas2 vlsibput
Spdas2 vlsibput
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners
 
Vhdl 1 ppg
Vhdl 1 ppgVhdl 1 ppg
Vhdl 1 ppg
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
VHDL
VHDLVHDL
VHDL
 
Chapter 5 introduction to VHDL
Chapter 5 introduction to VHDLChapter 5 introduction to VHDL
Chapter 5 introduction to VHDL
 
Lecture3 combinational blocks
Lecture3 combinational blocksLecture3 combinational blocks
Lecture3 combinational blocks
 
Vhdl basic unit-2
Vhdl basic unit-2Vhdl basic unit-2
Vhdl basic unit-2
 
Vhdl design flow
Vhdl design flowVhdl design flow
Vhdl design flow
 
Lecture1
Lecture1Lecture1
Lecture1
 
VHDL CODES
VHDL CODES VHDL CODES
VHDL CODES
 
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1
 
vhdl
vhdlvhdl
vhdl
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
VHDL - Part 2
VHDL - Part 2VHDL - Part 2
VHDL - Part 2
 
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x AdditionsVHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
 
Verilog HDL Training Course
Verilog HDL Training CourseVerilog HDL Training Course
Verilog HDL Training Course
 
Vhdl programming
Vhdl programmingVhdl programming
Vhdl programming
 
Introduction to-vhdl
Introduction to-vhdlIntroduction to-vhdl
Introduction to-vhdl
 

Similar to Vhdl (20)

VHDL_VIKAS.pptx
VHDL_VIKAS.pptxVHDL_VIKAS.pptx
VHDL_VIKAS.pptx
 
hardware description language power point presentation
hardware description language power point presentationhardware description language power point presentation
hardware description language power point presentation
 
Vlsi(2)
Vlsi(2)Vlsi(2)
Vlsi(2)
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
 
Vhdl new
Vhdl newVhdl new
Vhdl new
 
the-vhsic-.pptx
the-vhsic-.pptxthe-vhsic-.pptx
the-vhsic-.pptx
 
Digital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxDigital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptx
 
DLD5.pdf
DLD5.pdfDLD5.pdf
DLD5.pdf
 
Verilog HDL
Verilog HDL Verilog HDL
Verilog HDL
 
Wi Fi documantation
Wi Fi documantationWi Fi documantation
Wi Fi documantation
 
Vhdl
VhdlVhdl
Vhdl
 
Ece iv-fundamentals of hdl [10 ec45]-notes
Ece iv-fundamentals of hdl [10 ec45]-notesEce iv-fundamentals of hdl [10 ec45]-notes
Ece iv-fundamentals of hdl [10 ec45]-notes
 
Vhdl
VhdlVhdl
Vhdl
 
session two hardware description language
session  two hardware description languagesession  two hardware description language
session two hardware description language
 
Prilimanary Concepts of VHDL by Dr.R.Prakash Rao
Prilimanary Concepts of VHDL by    Dr.R.Prakash RaoPrilimanary Concepts of VHDL by    Dr.R.Prakash Rao
Prilimanary Concepts of VHDL by Dr.R.Prakash Rao
 
Verilog Lecture1
Verilog Lecture1Verilog Lecture1
Verilog Lecture1
 
Hdl
HdlHdl
Hdl
 
Verilog
VerilogVerilog
Verilog
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Embedded system
Embedded systemEmbedded system
Embedded system
 

Recently uploaded

Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEroselinkalist12
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...asadnawaz62
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)Dr SOUNDIRARAJ N
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfCCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfAsst.prof M.Gokilavani
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHC Sai Kiran
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 

Recently uploaded (20)

Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfCCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECH
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
Design and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdfDesign and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdf
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 

Vhdl

  • 1. VHDL Dr. Vandana Malode 1Source : open source free internet
  • 2. Content • VLSI Design flow • Level of abstraction • Types of HDL • Compare VHDL and Verilog 2Source : open source free internet
  • 3. 3Source : open source free internet
  • 4. Simulation Vs Synthesis 4 Front End Procedure Back end procedure Correctness of program(code) Concentration on Chip Design Functionality of Description Apply I/P o/p Ex. Full Adder (2HA+1OR ) Not check Power,Delay,Performance,Size Size,Power,Delay , performance Tools: Modelsim, Questasim, Front end Mentor graphics Tools: Xilinx , cadence, Synopsis, Backend Mentor graphics Source : open source free internet
  • 5. Logic Simulation • A simulator interprets the HDL description and produces a readable output, such as a timing diagram, that predicts how the hardware will behave before its is actually fabricated. • Simulation allows the detection of functional errors in a design without having to physically create the circuit. 5Source : open source free internet
  • 6. Motivation • The benefits of integrating analogue circuitry with digital logic include: • Higher performance • Lower power consumption • Less mass • Reduced costs • Improved reliability • Greater levels of reusability • Enhanced system testing and quality • All of these benefits contribute to the spirit of “Faster, Better, Cheaper”! • All of these offer the potential to advance mixed-signal microelectronics for space applications 6Source : open source free internet
  • 7. View by Chip Technology 7 ARTIX7 KINTEX7 VIRTEX7 ZYNQ SPARTAN-6 Source : open source free internet
  • 8. Concept of module or chip 8Source : open source free internet
  • 9. 9 Levels of Abstraction 1970 1980 1990 2000+ Abstraction Circuit Level Gate Level RTL RT Level (Module) RTL SW System Level Source : open source free internet
  • 10. 10Source : open source free internet
  • 11. Types of VHDL • VHDL : VHSIC –HDL VHSIC: Very High Speed Integrated Circuit- Hardware Description Language. It is a Hardware Description Language used in electronic design automation to describe digital and mixed-signal systems. VHDL is a description and simulation language like ada , panda, pascal • Verilog HDL: Verilog Hardware Description Language.(like ‘C’ language) HDL: Designed by IBM, Texas Instruments, and Intermetrics for united states Air force .VHSIC program launched in 1980. Standardized by the IEEE in 1987: IEEE 1076-1987 • TOOLS: Xilinx, Atmel, Altera, Mentor Graphics, Cadence 11Source : open source free internet
  • 12. Compare VHDL and VERILOG 12 VHDL VERILOG Entity, Multiple architecture description Only Module Declaration Ada based constructs , not case sensitive language C language, case-sensitive Harder to learn and use Easy to learn and use , Fast simulation VHDL is strongly type language Verilog is weakly type language User-defined, physical, enumeration or pointer types is available and required 9-valued logic User-defined, physical, enumeration or pointer types is not available and required 4-valued logic Very good FPGA library support. Better availability of ASIC library support Gate level , data flow and Behavioral modeling Gate level , data flow and Behavioral modeling Source : open source free internet
  • 13. So Choose language (HDL) based on Trend and area of work 13Source : open source free internet
  • 14. IEEE STANDARD 1164 To use standard logic data types place at top of source file – LIBRARY ieee; -- library – USE ieee.std_logic_1164.ALL; -- package • Provides a standard data type (std_logic) in VHDL - nine values – U unitialized(Default value) – ‘X’ strong drive , unknown logic value – ‘0’ strong drive, logic 0 – ‘1’ forcing, logic 1 – Z high impedance ( For tri-state logic) – ‘W’ weak unknown logic value – ‘L’ weak drive, logic 0 – ‘H’ weak drive, logic 1 – ‘-’ don’t care • Provides a standard data type (std_logic) in Verilog - Four values – X forcing unknown – 0 forcing logic 0 – 1 forcing logic 1 – Z high impedance 14Source : open source free internet
  • 15. Entity • The entity defines the external view of the component. It provides system’s interface specification as seen from the outside. • PORTS are the communication links between entities or connections to the device pins Syntax For Entity entity EntityName is port (port list Input and output); end EntityName;  Note the use of libraries before entity description Libray ieee; USE ieee.std_logic_1164.all; ENTITY andgate IS PORT (a, b : IN std_logic; y : OUT std_logic); END andgate; 15Source : open source free internet
  • 16. Architecture • The Architecture defines the function or behavior or structure of the ENTITY • Consists of – concurrent statements – Sequential statements • An entity may have several architectures Multiple Architecture Syntax For Architecture Architecture ArchitectureName of EntityName is begin -- signal declarations -- concurrent statements end ArchitectureName; 16 Entity A B C Architecture behavior OF andgate is Begin y <= a and b; END behavior; Source : open source free internet
  • 17. Complete VHDL Code for AND Gate LIBRARY ieee; USE ieee.std_logic_1164.ALL; Entity andgate is Port (a, b : IN std_logic; y : OUT std_logic); End andgate; Architecture behavior OF andgate is Begin Y<= a and b; End behaviour; • Architecture behavior END behavior; 17Source : open source free internet
  • 18. Entity and Architecture Example: a 2-input multiplexer 18Source : open source free internet
  • 19. Data objects 19 Elements Identifiers Data objects Constants (Global) Signals (Global) Variables (Local) Data types Objects are used to represent and store the data in the system being described in VHDL. Example- SIGNAL COUNT: INTEGER Class Object Data Type Source : open source free internet
  • 20. CLASS: Each object belong to one of following class:  Constant: Hold values that cannot be changed within a design. Syntax: Constant constant name: Data Type := Value; Example: 1] constant width: integer :=8; 2] constant Clk_Period: Time := 15 ns; Continue 20 • Constant • Signals • Variables Source : open source free internet
  • 21. CLASS • Variable: A variable is an object with single value. • Values can be change during simulation through the variable assignment statements. • A variable declaration include one or more identifiers. • Variable used in Process not in architecture. • Internal representation used by programmers; do not exist physically. • Syntax: variable identifier_list: type [range_expr] [:=expression]; Example: 1] variable A,B: Std_logic; 2] Variable sum : std_logic-vector( 0 to 7); 21 Variable name Source : open source free internet
  • 22. CLASS  Signal: To represent wire connections within circuit. Signal is an object within past history of values. Signal have multiple drivers each with a current value and projected future value. Signal Describing H/W sytsem. Syntax: Signal identifier_list: type [range_expr] [:=expression]; Example: 1] signal count: STD_LOGIC_VECTOR (3 downto 0); 2] signal Gate-Delay: Time:=10ns; -- count means 4 wires; they are count(3),count(2), count(1), count(0). 22Source : open source free internet