SlideShare a Scribd company logo
1 of 3
Download to read offline
Himanshu Shivhare
Shree Dashtagiri PG
1st
cross madivala Email: himanshushivhare5@gmail.com
Bangalore-560068 Mobile: +918147199258
Statement of Interests
To pursue a career in Research/Design wherein I can use and acquire my knowledge to
contribute for extensive work, organization goals and achieve set targets in the field of
VLSI and to look for and work on such assignments which will nourish my academic
aptitude and behavioral attitude.
Summary of Qualifications
➢ 3.2 Years of working Experience in VLSI Industry
➢ Good Understanding of the ASIC and FPGA design flow
➢ Experience in writing RTL models in Verilog HDL and
Testbenches in System Verilog
➢ Good Understanding with Engineering Change
Order(ECO)
➢ Good knowledge in verification methodologies
➢ Experience in using industry standard EDA tools for the front-end design
and verification
➢ Experience in working on low power Lattice FPGA devices,iCE40, MachXO2
VLSI Domain Skills
HDLs: Verilog
HVL: SystemVerilog
EDA Tool: Lattice Diamond, iCEcube2, Active-HDL, Synplify-Pro,
Modelsim and Xilinx SE
Domain: ASIC/FPGA Design Flow, Digital Design methodologies
Knowledge: RTL Coding, FSM based design, Simulation,
Code Coverage, Synthesis, Functional Coverage, Coverage
Driven Verification, Assertion Based Verification
Experience
Bangalore Dec 2012 - Present, Lattice Semiconductor,Bangalore
Educational Qualification
Bachelor of Engineering, Gargi Institute of science and Technology RGPV, Madhya Pradesh,
India
Discipline: Electronics & Communication Engineering
Percentage: 68.8% First Class
Year: 2012
Achievements
➢ Award for Outstanding Contribution to Lattice Core Values in Lattice Semiconductor
VLSI Projects
UART – RTL design and verification
HVL: System Verilog
EDA Tools: Modelsim, Questa – Verification Platform and ISE.
➢ Implemented the UART using Verilog HDL independently.
➢ Architected the class based verification environment using System Verilog.
➢ Verified the RTL model using System Verilog.
➢ Generated functional and code coverage for the RTL verification sign-off
Synthesized the design.
Dual Port RAM – verification
HVL: System Verilog
EDA Tools: Modelsim, Questa – Verification Platform and ISE
➢ Implemented the Dual Port Ram using Verilog HDL independently
➢ Architected the class based verification environment using system Verilog
➢ Verified the RTL module using System Verilog
➢ Generated functional and code coverage for the RTL verification sign-off
Inter Integrated Circuit(I2C) Protocol
HDL : Verilog
EDA Tools: ModelSim, Lattice Diamond
Description: The design used with a microprocessor to read the configuration data from a
serial EEPROM that supports an I2
C protocol. I2
C master using 7-bit addresses and providing
random reads cycles only. Implemented the design on FPGA. The DUT is designed using
Verilog and tools used are ModelSim and Xilinx ISE.
➢ Designed the Slave using Verilog
➢ Verified the RTL module using Verilog
Lattice Reference Designs
Worked on many Lattice Reference designs including HDLC controller, BSCAN
implemented on Lattice FPGAs.
SPI Controller Core - Design
HDL: Verilog
HVL: Verilog
EDA Tools: Modelsim, Xilinx ISE
Description: The SPI Controller Core is an interface between wishbone compatible Master
Device and SPI interface Slave device. It supports variable length of transfer word and the
core can be configured for 1 to 32 bit, 64 & 128 bit. It supports data latching and data
transfer at both edges of clock. This core can be configured to connect with 32 slaves. The
SPI Clock frequency can be adjusted by configuring desirable value in 32-bit clock divider
register. The SPI Core RTL is technology independent and fully synthesizable.
➢ Designed the module
➢ Verified the RTL module using Verilog
➢ Generated code coverage for the RTL verification sign-off
Engineering Final Year Project:
Fractal Image Compression:
HDL: VHDL
EDA Tools: ModelSim, Xilinx-ISE
Description: Image compression using fractal transform is a promising method which is
potentially capable of achieving very high compression ratios. In fractal image compression
the encoding step is computationally expensive. We present a technique that reduces
computational complexity and hence reducing the encoding time. The fast fractal algorithm
is based on self similarity measures and leads to a novel application of the Fast Fourier
Transform based cross-correlation. The whole design has been done using VHDL. Matlab is
used for converting the Image into Matrix form. It gives the coordinates of RGB plane. The
design is synthesized using Xilinx ISE and Simulated using ModelSim. FPGA Implementation
of Cross Correlation has been done.
Additional Skills
Other Tools : Enterprise Wizard(EW)/Agiloft (Management Tool from Agilant) Site
Core.
Declaration
I hereby declare that the above-furnished information is true to the best of my knowledge.
Himanshu Shivhare

More Related Content

What's hot

What's hot (19)

VLSI VHDL
VLSI VHDLVLSI VHDL
VLSI VHDL
 
Punit_Shah_resume
Punit_Shah_resumePunit_Shah_resume
Punit_Shah_resume
 
Resume Digital & Analog
Resume Digital & AnalogResume Digital & Analog
Resume Digital & Analog
 
updated resume ---III
updated resume ---IIIupdated resume ---III
updated resume ---III
 
Resume16AugV
Resume16AugVResume16AugV
Resume16AugV
 
Session 02 _rtl_design_with_vhdl 101
Session 02 _rtl_design_with_vhdl 101Session 02 _rtl_design_with_vhdl 101
Session 02 _rtl_design_with_vhdl 101
 
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1
 
vhdl
vhdlvhdl
vhdl
 
VHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLVHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDL
 
RESUME_VLSI
RESUME_VLSIRESUME_VLSI
RESUME_VLSI
 
Vhdl
VhdlVhdl
Vhdl
 
An Introductory course on Verilog HDL-Verilog hdl ppr
An Introductory course on Verilog HDL-Verilog hdl pprAn Introductory course on Verilog HDL-Verilog hdl ppr
An Introductory course on Verilog HDL-Verilog hdl ppr
 
jayesh_resume
jayesh_resumejayesh_resume
jayesh_resume
 
VerilogHDL_Utkarsh_kulshrestha
VerilogHDL_Utkarsh_kulshresthaVerilogHDL_Utkarsh_kulshrestha
VerilogHDL_Utkarsh_kulshrestha
 
Hdl
HdlHdl
Hdl
 
VHDL
VHDLVHDL
VHDL
 
resume
resumeresume
resume
 
Cv mrudang pujari
Cv mrudang pujariCv mrudang pujari
Cv mrudang pujari
 
Chapter 5 introduction to VHDL
Chapter 5 introduction to VHDLChapter 5 introduction to VHDL
Chapter 5 introduction to VHDL
 

Viewers also liked (14)

ಗುರುತಿಸುವುದು 1
ಗುರುತಿಸುವುದು 1ಗುರುತಿಸುವುದು 1
ಗುರುತಿಸುವುದು 1
 
ಜೀವನದ ಶಿಷ್ಟಾಚಾರಗಳು 1
ಜೀವನದ ಶಿಷ್ಟಾಚಾರಗಳು 1ಜೀವನದ ಶಿಷ್ಟಾಚಾರಗಳು 1
ಜೀವನದ ಶಿಷ್ಟಾಚಾರಗಳು 1
 
Work and jobs
Work and jobsWork and jobs
Work and jobs
 
スキップフロア住宅見学会開催!
スキップフロア住宅見学会開催!スキップフロア住宅見学会開催!
スキップフロア住宅見学会開催!
 
Contemporary Wearable Art
Contemporary Wearable ArtContemporary Wearable Art
Contemporary Wearable Art
 
La Contabilidad
La ContabilidadLa Contabilidad
La Contabilidad
 
Test
TestTest
Test
 
Using premiere pro
Using premiere proUsing premiere pro
Using premiere pro
 
Comunicato stampa-teamreti-italia-def-def
Comunicato stampa-teamreti-italia-def-defComunicato stampa-teamreti-italia-def-def
Comunicato stampa-teamreti-italia-def-def
 
Trabajo de sustancias peligrosas
Trabajo de sustancias peligrosasTrabajo de sustancias peligrosas
Trabajo de sustancias peligrosas
 
Adventure vacation destination
Adventure vacation destinationAdventure vacation destination
Adventure vacation destination
 
Twitter en México - Julio 2010
Twitter en México - Julio 2010Twitter en México - Julio 2010
Twitter en México - Julio 2010
 
The Law of the Lid
The Law of the LidThe Law of the Lid
The Law of the Lid
 
chirag_patel
chirag_patelchirag_patel
chirag_patel
 

Similar to Himanshu Shivhar (1)

Similar to Himanshu Shivhar (1) (20)

Cv of manjunath kudari
Cv of manjunath kudariCv of manjunath kudari
Cv of manjunath kudari
 
Ravikanth Resume
Ravikanth ResumeRavikanth Resume
Ravikanth Resume
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
verification resume
verification resumeverification resume
verification resume
 
6 months/weeks training in Vlsi,jalandhar
6 months/weeks training in Vlsi,jalandhar6 months/weeks training in Vlsi,jalandhar
6 months/weeks training in Vlsi,jalandhar
 
6 weeks/months summer training in vlsi,ludhiana
6 weeks/months summer training in vlsi,ludhiana6 weeks/months summer training in vlsi,ludhiana
6 weeks/months summer training in vlsi,ludhiana
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Mallikarjun_Resume
Mallikarjun_ResumeMallikarjun_Resume
Mallikarjun_Resume
 
Curriculum_Vitae_lavanya_doc
Curriculum_Vitae_lavanya_docCurriculum_Vitae_lavanya_doc
Curriculum_Vitae_lavanya_doc
 
Rashmi_Palakkal_CV
Rashmi_Palakkal_CVRashmi_Palakkal_CV
Rashmi_Palakkal_CV
 
Revathi_Resume__2.6
Revathi_Resume__2.6Revathi_Resume__2.6
Revathi_Resume__2.6
 
Iyyappan_updated_cv_june_2016
Iyyappan_updated_cv_june_2016Iyyappan_updated_cv_june_2016
Iyyappan_updated_cv_june_2016
 
Bindu_Resume
Bindu_ResumeBindu_Resume
Bindu_Resume
 
Apoorva Tripathi
Apoorva Tripathi Apoorva Tripathi
Apoorva Tripathi
 
Vhdl new
Vhdl newVhdl new
Vhdl new
 
Vlsi final year project in ludhiana
Vlsi final year project in ludhianaVlsi final year project in ludhiana
Vlsi final year project in ludhiana
 
Vlsi final year project in jalandhar
Vlsi final year project in jalandharVlsi final year project in jalandhar
Vlsi final year project in jalandhar
 
Lear unified env_paper-1
Lear unified env_paper-1Lear unified env_paper-1
Lear unified env_paper-1
 
Kishor_cv
Kishor_cvKishor_cv
Kishor_cv
 
Detailed Cv
Detailed CvDetailed Cv
Detailed Cv
 

Himanshu Shivhar (1)

  • 1. Himanshu Shivhare Shree Dashtagiri PG 1st cross madivala Email: himanshushivhare5@gmail.com Bangalore-560068 Mobile: +918147199258 Statement of Interests To pursue a career in Research/Design wherein I can use and acquire my knowledge to contribute for extensive work, organization goals and achieve set targets in the field of VLSI and to look for and work on such assignments which will nourish my academic aptitude and behavioral attitude. Summary of Qualifications ➢ 3.2 Years of working Experience in VLSI Industry ➢ Good Understanding of the ASIC and FPGA design flow ➢ Experience in writing RTL models in Verilog HDL and Testbenches in System Verilog ➢ Good Understanding with Engineering Change Order(ECO) ➢ Good knowledge in verification methodologies ➢ Experience in using industry standard EDA tools for the front-end design and verification ➢ Experience in working on low power Lattice FPGA devices,iCE40, MachXO2 VLSI Domain Skills HDLs: Verilog HVL: SystemVerilog EDA Tool: Lattice Diamond, iCEcube2, Active-HDL, Synplify-Pro, Modelsim and Xilinx SE Domain: ASIC/FPGA Design Flow, Digital Design methodologies Knowledge: RTL Coding, FSM based design, Simulation, Code Coverage, Synthesis, Functional Coverage, Coverage Driven Verification, Assertion Based Verification Experience Bangalore Dec 2012 - Present, Lattice Semiconductor,Bangalore Educational Qualification Bachelor of Engineering, Gargi Institute of science and Technology RGPV, Madhya Pradesh, India Discipline: Electronics & Communication Engineering Percentage: 68.8% First Class Year: 2012 Achievements ➢ Award for Outstanding Contribution to Lattice Core Values in Lattice Semiconductor
  • 2. VLSI Projects UART – RTL design and verification HVL: System Verilog EDA Tools: Modelsim, Questa – Verification Platform and ISE. ➢ Implemented the UART using Verilog HDL independently. ➢ Architected the class based verification environment using System Verilog. ➢ Verified the RTL model using System Verilog. ➢ Generated functional and code coverage for the RTL verification sign-off Synthesized the design. Dual Port RAM – verification HVL: System Verilog EDA Tools: Modelsim, Questa – Verification Platform and ISE ➢ Implemented the Dual Port Ram using Verilog HDL independently ➢ Architected the class based verification environment using system Verilog ➢ Verified the RTL module using System Verilog ➢ Generated functional and code coverage for the RTL verification sign-off Inter Integrated Circuit(I2C) Protocol HDL : Verilog EDA Tools: ModelSim, Lattice Diamond Description: The design used with a microprocessor to read the configuration data from a serial EEPROM that supports an I2 C protocol. I2 C master using 7-bit addresses and providing random reads cycles only. Implemented the design on FPGA. The DUT is designed using Verilog and tools used are ModelSim and Xilinx ISE. ➢ Designed the Slave using Verilog ➢ Verified the RTL module using Verilog Lattice Reference Designs Worked on many Lattice Reference designs including HDLC controller, BSCAN implemented on Lattice FPGAs. SPI Controller Core - Design HDL: Verilog HVL: Verilog EDA Tools: Modelsim, Xilinx ISE Description: The SPI Controller Core is an interface between wishbone compatible Master Device and SPI interface Slave device. It supports variable length of transfer word and the core can be configured for 1 to 32 bit, 64 & 128 bit. It supports data latching and data transfer at both edges of clock. This core can be configured to connect with 32 slaves. The SPI Clock frequency can be adjusted by configuring desirable value in 32-bit clock divider register. The SPI Core RTL is technology independent and fully synthesizable. ➢ Designed the module ➢ Verified the RTL module using Verilog ➢ Generated code coverage for the RTL verification sign-off
  • 3. Engineering Final Year Project: Fractal Image Compression: HDL: VHDL EDA Tools: ModelSim, Xilinx-ISE Description: Image compression using fractal transform is a promising method which is potentially capable of achieving very high compression ratios. In fractal image compression the encoding step is computationally expensive. We present a technique that reduces computational complexity and hence reducing the encoding time. The fast fractal algorithm is based on self similarity measures and leads to a novel application of the Fast Fourier Transform based cross-correlation. The whole design has been done using VHDL. Matlab is used for converting the Image into Matrix form. It gives the coordinates of RGB plane. The design is synthesized using Xilinx ISE and Simulated using ModelSim. FPGA Implementation of Cross Correlation has been done. Additional Skills Other Tools : Enterprise Wizard(EW)/Agiloft (Management Tool from Agilant) Site Core. Declaration I hereby declare that the above-furnished information is true to the best of my knowledge. Himanshu Shivhare