SlideShare a Scribd company logo
1 of 17
VLSI & VHDL
Submitted By
Er. Vishwesh Kumar Sharma
Integrated Circuits(ICs)
What is Integrated Circuit?
Integrated Circuits contains several
transistors fabricated on a single chip.
Classification of ICs
Size classification( historical )
 <100 SSI 1963
100-3000 MSI 1970
3000 – 30000 LSI 1975
 30000 – 1000000 VLSI 1980
 > 1000000 ULSI 1990
VLSI introduction : Objectives
Introduction :
 A VLSI (Very Large Scale Integration) system
integrates millions of “electronic components” in a
small area (few mm2  few cm2).
Objectives:
• Circuit Speed (high )
• Power consumption ( low )
• Design Area ( low )
Vlsi design flow
1. idea (need) 2. specifications 3. design architecture 4. RTL coding
5. RTL
Verification
6. Synthesis7.Foundry8.IC Chip
1. Ideas
• Microprocessor
• Microcontroller
• Memories
• Printer
• Mobile
• Digital security lock
Any thing we needs chip
• Instruction set
• Interface (I/O pins)
• Organization of the system
• Functionality of each unit in the system, and how to
communicate it to other units.
2.Specifications
3. Design architecture
• This is where the main work starts. With the help
of the specification sheet the target IC’s
architecture is decided and a layout for same is
created by design engineers using EDA tools.
EDA Tools :
• Synopsys – astro
• activehdl
• Xilinx - ise design suite
• Cadence - encounter digital ic design
4. RTL coding
RTL - register transfer level.
• This implies that the VHDL code written
based on the architecture describes how
data is transformed as it is passed from
register to register.
RTL coding tools
• xilinx ise,
• Vim,
• Emacs,
• conTEXT,
• HDL TurboWriter
HDL – Hardware Description Language
ƒ
A programming language that can describe the
functionality and timing of the hardware.
• VHDL ( Very high speed integrated circuit
Hardware Description Language)
5. RTL Verification
RTL simulation and verification is one of the important
step. This ensures that the design is logically correct and
without major timing errors. It is advantageous to
perform this step, especially in the early stages of the
design.
RTL verification
tools
Modelsim
• Finsim
• TestBuilder
• Xilinx ise
RTL verification wave form
6. synthesis
This is where the design now start to get physical. Logic
synthesis is a process by which the desired circuit
behavior i.e. Register Transistor Level is turned into a
design in terms of logic gates which drives the circuit or
architecture.
Synthesis tools/kit
• FPGA
(Altera,digiland,xilinx)
• CPLD ( altera ,
digiland )
7.Foundry
The design is sent for Fabrication for
mass production to foundry .
8.IC Chip
Application of VLSI
VLSI & VHDL Design Flow

More Related Content

What's hot

Introduction to VLSI Design
Introduction to VLSI DesignIntroduction to VLSI Design
Introduction to VLSI DesignKalyan Acharjya
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical designDeiptii Das
 
Mos transistor
Mos transistorMos transistor
Mos transistorMurali Rai
 
Introduction to VLSI
Introduction to VLSIIntroduction to VLSI
Introduction to VLSIShams Tabrej
 
VLSI Design Methodologies
VLSI Design MethodologiesVLSI Design Methodologies
VLSI Design MethodologiesKeshav
 
Introduction to ASICs.pptx
Introduction to ASICs.pptxIntroduction to ASICs.pptx
Introduction to ASICs.pptxDr.YNM
 
Convolution codes and turbo codes
Convolution codes and turbo codesConvolution codes and turbo codes
Convolution codes and turbo codesManish Srivastava
 
Analog Layout and Process Concern
Analog Layout and Process ConcernAnalog Layout and Process Concern
Analog Layout and Process Concernasinghsaroj
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designDr. Ravi Mishra
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training pptBhagwan Lal Teli
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notesDr.YNM
 
VLSI
VLSI VLSI
VLSI So Ma
 
Vlsi design main ppt 1
Vlsi design main ppt 1Vlsi design main ppt 1
Vlsi design main ppt 1Semi Design
 
Stick Diagram and Lambda Based Design Rules
Stick Diagram and Lambda Based Design RulesStick Diagram and Lambda Based Design Rules
Stick Diagram and Lambda Based Design RulesTahsin Al Mahi
 

What's hot (20)

Introduction to VLSI Design
Introduction to VLSI DesignIntroduction to VLSI Design
Introduction to VLSI Design
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 
ASIC Design Flow
ASIC Design FlowASIC Design Flow
ASIC Design Flow
 
VLSI TECHNOLOGY
VLSI TECHNOLOGYVLSI TECHNOLOGY
VLSI TECHNOLOGY
 
Mos transistor
Mos transistorMos transistor
Mos transistor
 
VLSI routing
VLSI routingVLSI routing
VLSI routing
 
Introduction to VLSI
Introduction to VLSIIntroduction to VLSI
Introduction to VLSI
 
VLSI Design Methodologies
VLSI Design MethodologiesVLSI Design Methodologies
VLSI Design Methodologies
 
Asic design
Asic designAsic design
Asic design
 
Introduction to ASICs.pptx
Introduction to ASICs.pptxIntroduction to ASICs.pptx
Introduction to ASICs.pptx
 
Convolution codes and turbo codes
Convolution codes and turbo codesConvolution codes and turbo codes
Convolution codes and turbo codes
 
VLSI Design Flow
VLSI Design FlowVLSI Design Flow
VLSI Design Flow
 
Analog Layout and Process Concern
Analog Layout and Process ConcernAnalog Layout and Process Concern
Analog Layout and Process Concern
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI design
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
Presentation on CDMA
Presentation on CDMAPresentation on CDMA
Presentation on CDMA
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
VLSI
VLSI VLSI
VLSI
 
Vlsi design main ppt 1
Vlsi design main ppt 1Vlsi design main ppt 1
Vlsi design main ppt 1
 
Stick Diagram and Lambda Based Design Rules
Stick Diagram and Lambda Based Design RulesStick Diagram and Lambda Based Design Rules
Stick Diagram and Lambda Based Design Rules
 

Viewers also liked

Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Ravi Sony
 
Tutorial: Formal Methods for Hardware Verification - Overview and Application...
Tutorial: Formal Methods for Hardware Verification - Overview and Application...Tutorial: Formal Methods for Hardware Verification - Overview and Application...
Tutorial: Formal Methods for Hardware Verification - Overview and Application...Peter Breuer
 
Synthesizing HDL using LeonardoSpectrum
Synthesizing HDL using LeonardoSpectrumSynthesizing HDL using LeonardoSpectrum
Synthesizing HDL using LeonardoSpectrumHossam Hassan
 
Verilog overview
Verilog overviewVerilog overview
Verilog overviewposdege
 
Verilog 語法教學
Verilog 語法教學 Verilog 語法教學
Verilog 語法教學 艾鍗科技
 
6 Steps to High Performing Teams
6 Steps to High Performing Teams6 Steps to High Performing Teams
6 Steps to High Performing TeamsPaul (E) Kilzer
 
Ilmu Sosial Budaya Dasar - Komodifikasi Budaya Bali
Ilmu Sosial Budaya Dasar - Komodifikasi Budaya BaliIlmu Sosial Budaya Dasar - Komodifikasi Budaya Bali
Ilmu Sosial Budaya Dasar - Komodifikasi Budaya BaliMargii Utamii
 
Geocom venture lab002
Geocom venture lab002Geocom venture lab002
Geocom venture lab002Ed Villegas
 
基礎ゼミナールI1501
基礎ゼミナールI1501基礎ゼミナールI1501
基礎ゼミナールI1501Koichiro Kinai
 
Csütörtöki fiúk
Csütörtöki fiúkCsütörtöki fiúk
Csütörtöki fiúkaromoj
 

Viewers also liked (20)

Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners
 
vhdl
vhdlvhdl
vhdl
 
Fpga
FpgaFpga
Fpga
 
Tutorial: Formal Methods for Hardware Verification - Overview and Application...
Tutorial: Formal Methods for Hardware Verification - Overview and Application...Tutorial: Formal Methods for Hardware Verification - Overview and Application...
Tutorial: Formal Methods for Hardware Verification - Overview and Application...
 
Description
DescriptionDescription
Description
 
Verilog hdl
Verilog hdlVerilog hdl
Verilog hdl
 
Verilog
VerilogVerilog
Verilog
 
Verilogforlab
VerilogforlabVerilogforlab
Verilogforlab
 
Synthesizing HDL using LeonardoSpectrum
Synthesizing HDL using LeonardoSpectrumSynthesizing HDL using LeonardoSpectrum
Synthesizing HDL using LeonardoSpectrum
 
Verilog overview
Verilog overviewVerilog overview
Verilog overview
 
Verilog hdl
Verilog hdlVerilog hdl
Verilog hdl
 
Verilog 語法教學
Verilog 語法教學 Verilog 語法教學
Verilog 語法教學
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
What is FPGA?
What is FPGA?What is FPGA?
What is FPGA?
 
6 Steps to High Performing Teams
6 Steps to High Performing Teams6 Steps to High Performing Teams
6 Steps to High Performing Teams
 
Tuto jtatoo
Tuto jtatooTuto jtatoo
Tuto jtatoo
 
Ilmu Sosial Budaya Dasar - Komodifikasi Budaya Bali
Ilmu Sosial Budaya Dasar - Komodifikasi Budaya BaliIlmu Sosial Budaya Dasar - Komodifikasi Budaya Bali
Ilmu Sosial Budaya Dasar - Komodifikasi Budaya Bali
 
Geocom venture lab002
Geocom venture lab002Geocom venture lab002
Geocom venture lab002
 
基礎ゼミナールI1501
基礎ゼミナールI1501基礎ゼミナールI1501
基礎ゼミナールI1501
 
Csütörtöki fiúk
Csütörtöki fiúkCsütörtöki fiúk
Csütörtöki fiúk
 

Similar to VLSI & VHDL Design Flow

6 months/weeks training in Vlsi,jalandhar
6 months/weeks training in Vlsi,jalandhar6 months/weeks training in Vlsi,jalandhar
6 months/weeks training in Vlsi,jalandhardeepikakaler1
 
6 weeks/months summer training in vlsi,ludhiana
6 weeks/months summer training in vlsi,ludhiana6 weeks/months summer training in vlsi,ludhiana
6 weeks/months summer training in vlsi,ludhianadeepikakaler1
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptindrajeetPatel22
 
Design & Simulation With Verilog
Design & Simulation With Verilog Design & Simulation With Verilog
Design & Simulation With Verilog Semi Design
 
Basic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysBasic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysUsha Mehta
 
RTOS based Confidential Area Security System
RTOS based Confidential Area Security SystemRTOS based Confidential Area Security System
RTOS based Confidential Area Security Systemajinky gadewar
 
Digital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationDigital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationAmber Bhaumik
 
Buy Embedded Systems Projects Online
Buy Embedded Systems Projects Online Buy Embedded Systems Projects Online
Buy Embedded Systems Projects Online Technogroovy India
 
embedded systems & robotics Projects Based training @Technogroovy
embedded systems & robotics Projects Based training @Technogroovyembedded systems & robotics Projects Based training @Technogroovy
embedded systems & robotics Projects Based training @TechnogroovyTechnogroovy India
 
VLSI Systems & Design
VLSI Systems & DesignVLSI Systems & Design
VLSI Systems & DesignAakash Mishra
 
Session 01 _rtl_design_with_vhdl 101
Session 01 _rtl_design_with_vhdl 101Session 01 _rtl_design_with_vhdl 101
Session 01 _rtl_design_with_vhdl 101Mahmoud Abdellatif
 
Ppt on six month training on embedded system & IOT
Ppt on six month training on embedded system & IOTPpt on six month training on embedded system & IOT
Ppt on six month training on embedded system & IOTpreetigill309
 
Ti k2 e for mission critical applications
Ti k2 e for mission critical applicationsTi k2 e for mission critical applications
Ti k2 e for mission critical applicationsHitesh Jani
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdlArshit Rai
 
Vlsi final year project in ludhiana
Vlsi final year project in ludhianaVlsi final year project in ludhiana
Vlsi final year project in ludhianadeepikakaler1
 
Vlsi final year project in jalandhar
Vlsi final year project in jalandharVlsi final year project in jalandhar
Vlsi final year project in jalandhardeepikakaler1
 

Similar to VLSI & VHDL Design Flow (20)

6 months/weeks training in Vlsi,jalandhar
6 months/weeks training in Vlsi,jalandhar6 months/weeks training in Vlsi,jalandhar
6 months/weeks training in Vlsi,jalandhar
 
6 weeks/months summer training in vlsi,ludhiana
6 weeks/months summer training in vlsi,ludhiana6 weeks/months summer training in vlsi,ludhiana
6 weeks/months summer training in vlsi,ludhiana
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.ppt
 
Design & Simulation With Verilog
Design & Simulation With Verilog Design & Simulation With Verilog
Design & Simulation With Verilog
 
Unit I_MT2301.pdf
Unit I_MT2301.pdfUnit I_MT2301.pdf
Unit I_MT2301.pdf
 
Basic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysBasic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate Arrays
 
RTOS based Confidential Area Security System
RTOS based Confidential Area Security SystemRTOS based Confidential Area Security System
RTOS based Confidential Area Security System
 
Digital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationDigital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA Implementation
 
Vlsi lab
Vlsi labVlsi lab
Vlsi lab
 
Buy Embedded Systems Projects Online
Buy Embedded Systems Projects Online Buy Embedded Systems Projects Online
Buy Embedded Systems Projects Online
 
embedded systems & robotics Projects Based training @Technogroovy
embedded systems & robotics Projects Based training @Technogroovyembedded systems & robotics Projects Based training @Technogroovy
embedded systems & robotics Projects Based training @Technogroovy
 
VLSI Systems & Design
VLSI Systems & DesignVLSI Systems & Design
VLSI Systems & Design
 
Session 01 _rtl_design_with_vhdl 101
Session 01 _rtl_design_with_vhdl 101Session 01 _rtl_design_with_vhdl 101
Session 01 _rtl_design_with_vhdl 101
 
VHDL_Lec1.pptx
VHDL_Lec1.pptxVHDL_Lec1.pptx
VHDL_Lec1.pptx
 
Ppt on six month training on embedded system & IOT
Ppt on six month training on embedded system & IOTPpt on six month training on embedded system & IOT
Ppt on six month training on embedded system & IOT
 
Ti k2 e for mission critical applications
Ti k2 e for mission critical applicationsTi k2 e for mission critical applications
Ti k2 e for mission critical applications
 
Vlsi
VlsiVlsi
Vlsi
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Vlsi final year project in ludhiana
Vlsi final year project in ludhianaVlsi final year project in ludhiana
Vlsi final year project in ludhiana
 
Vlsi final year project in jalandhar
Vlsi final year project in jalandharVlsi final year project in jalandhar
Vlsi final year project in jalandhar
 

Recently uploaded

Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Allon Mureinik
 
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024BookNet Canada
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationSafe Software
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersThousandEyes
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksSoftradix Technologies
 
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024BookNet Canada
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
Artificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraArtificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraDeakin University
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking MenDelhi Call girls
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slidespraypatel2
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machinePadma Pradeep
 
Pigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsEnterprise Knowledge
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountPuma Security, LLC
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j
 
Next-generation AAM aircraft unveiled by Supernal, S-A2
Next-generation AAM aircraft unveiled by Supernal, S-A2Next-generation AAM aircraft unveiled by Supernal, S-A2
Next-generation AAM aircraft unveiled by Supernal, S-A2Hyundai Motor Group
 
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your Budget
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your BudgetHyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your Budget
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your BudgetEnjoy Anytime
 
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptxMaking_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptxnull - The Open Security Community
 

Recently uploaded (20)

Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)
 
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other Frameworks
 
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
Artificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraArtificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning era
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food Manufacturing
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slides
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machine
 
Pigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping Elbows
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
 
Next-generation AAM aircraft unveiled by Supernal, S-A2
Next-generation AAM aircraft unveiled by Supernal, S-A2Next-generation AAM aircraft unveiled by Supernal, S-A2
Next-generation AAM aircraft unveiled by Supernal, S-A2
 
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your Budget
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your BudgetHyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your Budget
Hyderabad Call Girls Khairatabad ✨ 7001305949 ✨ Cheap Price Your Budget
 
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptxMaking_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
 

VLSI & VHDL Design Flow

  • 1. VLSI & VHDL Submitted By Er. Vishwesh Kumar Sharma
  • 2. Integrated Circuits(ICs) What is Integrated Circuit? Integrated Circuits contains several transistors fabricated on a single chip. Classification of ICs Size classification( historical )  <100 SSI 1963 100-3000 MSI 1970 3000 – 30000 LSI 1975  30000 – 1000000 VLSI 1980  > 1000000 ULSI 1990
  • 3. VLSI introduction : Objectives Introduction :  A VLSI (Very Large Scale Integration) system integrates millions of “electronic components” in a small area (few mm2  few cm2). Objectives: • Circuit Speed (high ) • Power consumption ( low ) • Design Area ( low )
  • 4. Vlsi design flow 1. idea (need) 2. specifications 3. design architecture 4. RTL coding 5. RTL Verification 6. Synthesis7.Foundry8.IC Chip
  • 5. 1. Ideas • Microprocessor • Microcontroller • Memories • Printer • Mobile • Digital security lock Any thing we needs chip
  • 6. • Instruction set • Interface (I/O pins) • Organization of the system • Functionality of each unit in the system, and how to communicate it to other units. 2.Specifications
  • 7. 3. Design architecture • This is where the main work starts. With the help of the specification sheet the target IC’s architecture is decided and a layout for same is created by design engineers using EDA tools. EDA Tools : • Synopsys – astro • activehdl • Xilinx - ise design suite • Cadence - encounter digital ic design
  • 8.
  • 9. 4. RTL coding RTL - register transfer level. • This implies that the VHDL code written based on the architecture describes how data is transformed as it is passed from register to register. RTL coding tools • xilinx ise, • Vim, • Emacs, • conTEXT, • HDL TurboWriter
  • 10. HDL – Hardware Description Language ƒ A programming language that can describe the functionality and timing of the hardware. • VHDL ( Very high speed integrated circuit Hardware Description Language)
  • 11. 5. RTL Verification RTL simulation and verification is one of the important step. This ensures that the design is logically correct and without major timing errors. It is advantageous to perform this step, especially in the early stages of the design. RTL verification tools Modelsim • Finsim • TestBuilder • Xilinx ise
  • 13. 6. synthesis This is where the design now start to get physical. Logic synthesis is a process by which the desired circuit behavior i.e. Register Transistor Level is turned into a design in terms of logic gates which drives the circuit or architecture. Synthesis tools/kit • FPGA (Altera,digiland,xilinx) • CPLD ( altera , digiland )
  • 14. 7.Foundry The design is sent for Fabrication for mass production to foundry .