SlideShare a Scribd company logo
1 of 16
VLSI Design Methodologies
Made By:
Keshav(2K19/EC/091)
Complexity and Productivity growth of IC’s
 Complexity grows 58%/year (doubles every 18 months)
 Productivity grows 21%/year (doubles every 31/2 years) unless
methodology is updated
Less Power Consumption
Less Price/More Economical
More or Less components per board
Higher Reliability
Improved Interconnects
More Compactness
High Speed of Operation
Less Manufacturing Costs
Area Utilization/Compactness
VLSI Technology and Device Drivers
VLSI Design: Overview
 VLSI design is system design
– Designing fast inverters is fun, but need knowledge
of all aspects of digital design: algorithms, systems,
circuits, fabrication, & packaging
– Need to bridge gap between abstract vision of
digital design and the underlying digital circuit and its
peculiarities
– Circuit-level optimization, verification, and testing
techniques are important
 Tall thin approach does not always work
– Today’s designer is “fatter”, but well-versed in both
high-level and low-level design skills
 Performance Vs Design Cycle
 Technology Window
Y-Chart
 Representations or abstractions:
 Verification is essential in every step during the process
 Behavior representation
 Logic representation
 Circuit representation
 Layout representation
System Specification
Functional Design
Functional Verification
Logic Design
Logic Verification
Circuit Design
Circuit Verification
Physical Design
Layout Verification
Behavioral
Representation
Logic
Representation
Circuit
Representation
Layout
Representation
Fabrication & testing
VLSI Design Flow
Field programmable gate array (FPGA)
 Logic gates with programmable interconnects
 I/O buffers, configurable logic blocks (CLBs) and programmable interconnect structures
 Requires no process steps for logic realization
 For fast prototyping and small-volume ASIC production (short turn-around time)
 Design flow of FPGA:
VLSI Design Style
 Behavioral description of its functionality
 Technology-mapped into circuits or logic cells
 Assigns logic cells to FPGA CLBs and determines the routing pattern
 Configurable logic blocks (CLBs)
 Programmable interconnect
 Independent combinational function generators (memory look-up table)
 Clock signal terminal
 User-programmable multiplexers
 Flip-flops
 Six pass transistors per switch matrix interconnect point
 Accomplished by data in RAM cells
Gate array design
 Uncommitted transistors separated by routing channels
 Circuit implementation:
 Ranks second after FPGA with a turn-around time of a few days
 Chip utilization factor is higher than that of the FPGA
 1st phase: generic masks for uncommitted transistors on each GA chip (stored)
 2nd phase: Customization by (multiple) metal fabrication process
 Standard-cell based design
 Commonly used logics are developed, characterized and stored in a standard cell library
 Cell library includes:
 Standard cell arrangement:
 The required logic circuits are realized using the cells in the library
 Complete mask sets are developed for chip fabrication
 One of the most prevalent design style for ASIC applications
VLSI Design: Overview
 Delay time versus load capacitance
 Circuit simulation model
 Timing simulation model
 Fault simulation model
 Cell data for place-and-route
 Mask data
 Fixed cell height
 Parallel power and ground rails
 Input and output pins are located on the upper and lower boundaries
 Cells are placed side by side in standard-cell based design
Layout of a standard cell and floorplan of a standard-cell based design
Full custom design
 Design and develop the IC from scratch
 Possibly to achieve the highest performance compared with other design styles
 Highest development cost and design cycle time
 Design reuse is coming popular to alleviate the design effort
 Suitable for design of high-performance processors, FPGAs and memory chips
Testability
 Time and effort for chip test increase exponentially with design complexity
 The test task requires
Yield and manufacturability
 The ratio of good tested chips to total tested chips
 Functionality yield:
 Parametric yield
 Consider manufacturability of the chip in the design phase
 Sufficient tolerance to device fluctuations and margin for measurement uncertainty
Design Quality
 Generation of good test vectors
 Availability of reliable test fixture at speed
 Design of testable chip
 Testing the chips at lower speed
 Identify problems of shorts, opens and leakage current
 Detect logic and circuit design failure
 Test at the required speed
 Delay testing is performed at this stage
 Reliability
 Depends on the design and process conditions
 Reliability problems:
 Technology updateability
 Be technology-updated to new design rules
 Fast migration to new process technology
 “Dumb shrink” method with uniform scaling is rarely practiced
 Silicon compilation: generate physical layout from high-level specifications
 Electrostatic discharge (ESD) and electrical overstress (EOS)
 Electromigration
 Latch-up in CMOS I/O and internal circuits
 Hot-carrier induced aging
 Oxide breakdown
 Single event upset
 Power and ground bouncing
 On-chip noise and crosstalk
System to Silicon design
References
 https://www.tutorialspoint.com/vlsi_design/vlsi_design_digital_system.htm#:~:text=Very%2Dlarge%2D
scale%20integration%20(,microprocessor%20is%20a%20VLSI%20device.
 https://getmyuni.azureedge.net/assets/main/study-material/notes/electronics-
communication_engineering_microelectronics-vlsi-design_introduction-to-vlsi-design_notes.pdf
 https://picture.iczhiku.com/resource/eetop/ShiyDTRFDksWGXMV.pdf

More Related Content

What's hot

VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
Murali Rai
 
minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routing
Chandrajit Pal
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
Deiptii Das
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
Team-VLSI-ITMU
 

What's hot (20)

VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
VLSI routing
VLSI routingVLSI routing
VLSI routing
 
Second order effects
Second order effectsSecond order effects
Second order effects
 
Logic synthesis,flootplan&placement
Logic synthesis,flootplan&placementLogic synthesis,flootplan&placement
Logic synthesis,flootplan&placement
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
 
2Overview of Primetime.pptx
2Overview of Primetime.pptx2Overview of Primetime.pptx
2Overview of Primetime.pptx
 
Asic design flow
Asic design flowAsic design flow
Asic design flow
 
minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routing
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 
Design and development of carry select adder
Design and development of carry select adderDesign and development of carry select adder
Design and development of carry select adder
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
finfet tsmc.pdf
finfet tsmc.pdffinfet tsmc.pdf
finfet tsmc.pdf
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Layout design
Layout designLayout design
Layout design
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 
VLSI Technology Trends
VLSI Technology TrendsVLSI Technology Trends
VLSI Technology Trends
 

Similar to VLSI Design Methodologies

System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)
Shivam Gupta
 
Chapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdfChapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdf
VoThanhPhong3
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
aroosa khan
 

Similar to VLSI Design Methodologies (20)

Syste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptSyste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.ppt
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI design
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
 
Maquina estado
Maquina estadoMaquina estado
Maquina estado
 
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case StudyTraditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
 
Bharat gargi final project report
Bharat gargi final project reportBharat gargi final project report
Bharat gargi final project report
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)
 
ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt
 
The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...
 
Design method
Design methodDesign method
Design method
 
Chapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdfChapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdf
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.ppt
 
Fpga asic technologies_flow
Fpga asic technologies_flowFpga asic technologies_flow
Fpga asic technologies_flow
 
Fmcad08
Fmcad08Fmcad08
Fmcad08
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
 
Basics of embedded system design
Basics of embedded system designBasics of embedded system design
Basics of embedded system design
 

Recently uploaded

The basics of sentences session 4pptx.pptx
The basics of sentences session 4pptx.pptxThe basics of sentences session 4pptx.pptx
The basics of sentences session 4pptx.pptx
heathfieldcps1
 
Poster_density_driven_with_fracture_MLMC.pdf
Poster_density_driven_with_fracture_MLMC.pdfPoster_density_driven_with_fracture_MLMC.pdf
Poster_density_driven_with_fracture_MLMC.pdf
Alexander Litvinenko
 

Recently uploaded (20)

Including Mental Health Support in Project Delivery, 14 May.pdf
Including Mental Health Support in Project Delivery, 14 May.pdfIncluding Mental Health Support in Project Delivery, 14 May.pdf
Including Mental Health Support in Project Delivery, 14 May.pdf
 
Removal Strategy _ FEFO _ Working with Perishable Products in Odoo 17
Removal Strategy _ FEFO _ Working with Perishable Products in Odoo 17Removal Strategy _ FEFO _ Working with Perishable Products in Odoo 17
Removal Strategy _ FEFO _ Working with Perishable Products in Odoo 17
 
PSYPACT- Practicing Over State Lines May 2024.pptx
PSYPACT- Practicing Over State Lines May 2024.pptxPSYPACT- Practicing Over State Lines May 2024.pptx
PSYPACT- Practicing Over State Lines May 2024.pptx
 
Andreas Schleicher presents at the launch of What does child empowerment mean...
Andreas Schleicher presents at the launch of What does child empowerment mean...Andreas Schleicher presents at the launch of What does child empowerment mean...
Andreas Schleicher presents at the launch of What does child empowerment mean...
 
The Liver & Gallbladder (Anatomy & Physiology).pptx
The Liver &  Gallbladder (Anatomy & Physiology).pptxThe Liver &  Gallbladder (Anatomy & Physiology).pptx
The Liver & Gallbladder (Anatomy & Physiology).pptx
 
Đề tieng anh thpt 2024 danh cho cac ban hoc sinh
Đề tieng anh thpt 2024 danh cho cac ban hoc sinhĐề tieng anh thpt 2024 danh cho cac ban hoc sinh
Đề tieng anh thpt 2024 danh cho cac ban hoc sinh
 
Spring gala 2024 photo slideshow - Celebrating School-Community Partnerships
Spring gala 2024 photo slideshow - Celebrating School-Community PartnershipsSpring gala 2024 photo slideshow - Celebrating School-Community Partnerships
Spring gala 2024 photo slideshow - Celebrating School-Community Partnerships
 
The Ball Poem- John Berryman_20240518_001617_0000.pptx
The Ball Poem- John Berryman_20240518_001617_0000.pptxThe Ball Poem- John Berryman_20240518_001617_0000.pptx
The Ball Poem- John Berryman_20240518_001617_0000.pptx
 
How to Analyse Profit of a Sales Order in Odoo 17
How to Analyse Profit of a Sales Order in Odoo 17How to Analyse Profit of a Sales Order in Odoo 17
How to Analyse Profit of a Sales Order in Odoo 17
 
The basics of sentences session 4pptx.pptx
The basics of sentences session 4pptx.pptxThe basics of sentences session 4pptx.pptx
The basics of sentences session 4pptx.pptx
 
UChicago CMSC 23320 - The Best Commit Messages of 2024
UChicago CMSC 23320 - The Best Commit Messages of 2024UChicago CMSC 23320 - The Best Commit Messages of 2024
UChicago CMSC 23320 - The Best Commit Messages of 2024
 
IPL Online Quiz by Pragya; Question Set.
IPL Online Quiz by Pragya; Question Set.IPL Online Quiz by Pragya; Question Set.
IPL Online Quiz by Pragya; Question Set.
 
Capitol Tech Univ Doctoral Presentation -May 2024
Capitol Tech Univ Doctoral Presentation -May 2024Capitol Tech Univ Doctoral Presentation -May 2024
Capitol Tech Univ Doctoral Presentation -May 2024
 
Sternal Fractures & Dislocations - EMGuidewire Radiology Reading Room
Sternal Fractures & Dislocations - EMGuidewire Radiology Reading RoomSternal Fractures & Dislocations - EMGuidewire Radiology Reading Room
Sternal Fractures & Dislocations - EMGuidewire Radiology Reading Room
 
demyelinated disorder: multiple sclerosis.pptx
demyelinated disorder: multiple sclerosis.pptxdemyelinated disorder: multiple sclerosis.pptx
demyelinated disorder: multiple sclerosis.pptx
 
Poster_density_driven_with_fracture_MLMC.pdf
Poster_density_driven_with_fracture_MLMC.pdfPoster_density_driven_with_fracture_MLMC.pdf
Poster_density_driven_with_fracture_MLMC.pdf
 
ANTI PARKISON DRUGS.pptx
ANTI         PARKISON          DRUGS.pptxANTI         PARKISON          DRUGS.pptx
ANTI PARKISON DRUGS.pptx
 
Chapter 7 Pharmacosy Traditional System of Medicine & Ayurvedic Preparations ...
Chapter 7 Pharmacosy Traditional System of Medicine & Ayurvedic Preparations ...Chapter 7 Pharmacosy Traditional System of Medicine & Ayurvedic Preparations ...
Chapter 7 Pharmacosy Traditional System of Medicine & Ayurvedic Preparations ...
 
TỔNG HỢP HƠN 100 ĐỀ THI THỬ TỐT NGHIỆP THPT VẬT LÝ 2024 - TỪ CÁC TRƯỜNG, TRƯ...
TỔNG HỢP HƠN 100 ĐỀ THI THỬ TỐT NGHIỆP THPT VẬT LÝ 2024 - TỪ CÁC TRƯỜNG, TRƯ...TỔNG HỢP HƠN 100 ĐỀ THI THỬ TỐT NGHIỆP THPT VẬT LÝ 2024 - TỪ CÁC TRƯỜNG, TRƯ...
TỔNG HỢP HƠN 100 ĐỀ THI THỬ TỐT NGHIỆP THPT VẬT LÝ 2024 - TỪ CÁC TRƯỜNG, TRƯ...
 
Championnat de France de Tennis de table/
Championnat de France de Tennis de table/Championnat de France de Tennis de table/
Championnat de France de Tennis de table/
 

VLSI Design Methodologies

  • 1. VLSI Design Methodologies Made By: Keshav(2K19/EC/091)
  • 2. Complexity and Productivity growth of IC’s  Complexity grows 58%/year (doubles every 18 months)  Productivity grows 21%/year (doubles every 31/2 years) unless methodology is updated
  • 3. Less Power Consumption Less Price/More Economical More or Less components per board Higher Reliability Improved Interconnects More Compactness High Speed of Operation Less Manufacturing Costs Area Utilization/Compactness VLSI Technology and Device Drivers
  • 4. VLSI Design: Overview  VLSI design is system design – Designing fast inverters is fun, but need knowledge of all aspects of digital design: algorithms, systems, circuits, fabrication, & packaging – Need to bridge gap between abstract vision of digital design and the underlying digital circuit and its peculiarities – Circuit-level optimization, verification, and testing techniques are important  Tall thin approach does not always work – Today’s designer is “fatter”, but well-versed in both high-level and low-level design skills  Performance Vs Design Cycle  Technology Window
  • 6.  Representations or abstractions:  Verification is essential in every step during the process  Behavior representation  Logic representation  Circuit representation  Layout representation System Specification Functional Design Functional Verification Logic Design Logic Verification Circuit Design Circuit Verification Physical Design Layout Verification Behavioral Representation Logic Representation Circuit Representation Layout Representation Fabrication & testing VLSI Design Flow
  • 7. Field programmable gate array (FPGA)  Logic gates with programmable interconnects  I/O buffers, configurable logic blocks (CLBs) and programmable interconnect structures  Requires no process steps for logic realization  For fast prototyping and small-volume ASIC production (short turn-around time)  Design flow of FPGA: VLSI Design Style  Behavioral description of its functionality  Technology-mapped into circuits or logic cells  Assigns logic cells to FPGA CLBs and determines the routing pattern
  • 8.  Configurable logic blocks (CLBs)  Programmable interconnect  Independent combinational function generators (memory look-up table)  Clock signal terminal  User-programmable multiplexers  Flip-flops  Six pass transistors per switch matrix interconnect point  Accomplished by data in RAM cells
  • 9. Gate array design  Uncommitted transistors separated by routing channels  Circuit implementation:  Ranks second after FPGA with a turn-around time of a few days  Chip utilization factor is higher than that of the FPGA  1st phase: generic masks for uncommitted transistors on each GA chip (stored)  2nd phase: Customization by (multiple) metal fabrication process
  • 10.  Standard-cell based design  Commonly used logics are developed, characterized and stored in a standard cell library  Cell library includes:  Standard cell arrangement:  The required logic circuits are realized using the cells in the library  Complete mask sets are developed for chip fabrication  One of the most prevalent design style for ASIC applications VLSI Design: Overview  Delay time versus load capacitance  Circuit simulation model  Timing simulation model  Fault simulation model  Cell data for place-and-route  Mask data  Fixed cell height  Parallel power and ground rails  Input and output pins are located on the upper and lower boundaries  Cells are placed side by side in standard-cell based design
  • 11. Layout of a standard cell and floorplan of a standard-cell based design
  • 12. Full custom design  Design and develop the IC from scratch  Possibly to achieve the highest performance compared with other design styles  Highest development cost and design cycle time  Design reuse is coming popular to alleviate the design effort  Suitable for design of high-performance processors, FPGAs and memory chips
  • 13. Testability  Time and effort for chip test increase exponentially with design complexity  The test task requires Yield and manufacturability  The ratio of good tested chips to total tested chips  Functionality yield:  Parametric yield  Consider manufacturability of the chip in the design phase  Sufficient tolerance to device fluctuations and margin for measurement uncertainty Design Quality  Generation of good test vectors  Availability of reliable test fixture at speed  Design of testable chip  Testing the chips at lower speed  Identify problems of shorts, opens and leakage current  Detect logic and circuit design failure  Test at the required speed  Delay testing is performed at this stage
  • 14.  Reliability  Depends on the design and process conditions  Reliability problems:  Technology updateability  Be technology-updated to new design rules  Fast migration to new process technology  “Dumb shrink” method with uniform scaling is rarely practiced  Silicon compilation: generate physical layout from high-level specifications  Electrostatic discharge (ESD) and electrical overstress (EOS)  Electromigration  Latch-up in CMOS I/O and internal circuits  Hot-carrier induced aging  Oxide breakdown  Single event upset  Power and ground bouncing  On-chip noise and crosstalk