SlideShare a Scribd company logo
1 of 16
VLSI Design Methodologies
Made By:
Keshav(2K19/EC/091)
Complexity and Productivity growth of IC’s
 Complexity grows 58%/year (doubles every 18 months)
 Productivity grows 21%/year (doubles every 31/2 years) unless
methodology is updated
Less Power Consumption
Less Price/More Economical
More or Less components per board
Higher Reliability
Improved Interconnects
More Compactness
High Speed of Operation
Less Manufacturing Costs
Area Utilization/Compactness
VLSI Technology and Device Drivers
VLSI Design: Overview
 VLSI design is system design
– Designing fast inverters is fun, but need knowledge
of all aspects of digital design: algorithms, systems,
circuits, fabrication, & packaging
– Need to bridge gap between abstract vision of
digital design and the underlying digital circuit and its
peculiarities
– Circuit-level optimization, verification, and testing
techniques are important
 Tall thin approach does not always work
– Today’s designer is “fatter”, but well-versed in both
high-level and low-level design skills
 Performance Vs Design Cycle
 Technology Window
Y-Chart
 Representations or abstractions:
 Verification is essential in every step during the process
 Behavior representation
 Logic representation
 Circuit representation
 Layout representation
System Specification
Functional Design
Functional Verification
Logic Design
Logic Verification
Circuit Design
Circuit Verification
Physical Design
Layout Verification
Behavioral
Representation
Logic
Representation
Circuit
Representation
Layout
Representation
Fabrication & testing
VLSI Design Flow
Field programmable gate array (FPGA)
 Logic gates with programmable interconnects
 I/O buffers, configurable logic blocks (CLBs) and programmable interconnect structures
 Requires no process steps for logic realization
 For fast prototyping and small-volume ASIC production (short turn-around time)
 Design flow of FPGA:
VLSI Design Style
 Behavioral description of its functionality
 Technology-mapped into circuits or logic cells
 Assigns logic cells to FPGA CLBs and determines the routing pattern
 Configurable logic blocks (CLBs)
 Programmable interconnect
 Independent combinational function generators (memory look-up table)
 Clock signal terminal
 User-programmable multiplexers
 Flip-flops
 Six pass transistors per switch matrix interconnect point
 Accomplished by data in RAM cells
Gate array design
 Uncommitted transistors separated by routing channels
 Circuit implementation:
 Ranks second after FPGA with a turn-around time of a few days
 Chip utilization factor is higher than that of the FPGA
 1st phase: generic masks for uncommitted transistors on each GA chip (stored)
 2nd phase: Customization by (multiple) metal fabrication process
 Standard-cell based design
 Commonly used logics are developed, characterized and stored in a standard cell library
 Cell library includes:
 Standard cell arrangement:
 The required logic circuits are realized using the cells in the library
 Complete mask sets are developed for chip fabrication
 One of the most prevalent design style for ASIC applications
VLSI Design: Overview
 Delay time versus load capacitance
 Circuit simulation model
 Timing simulation model
 Fault simulation model
 Cell data for place-and-route
 Mask data
 Fixed cell height
 Parallel power and ground rails
 Input and output pins are located on the upper and lower boundaries
 Cells are placed side by side in standard-cell based design
Layout of a standard cell and floorplan of a standard-cell based design
Full custom design
 Design and develop the IC from scratch
 Possibly to achieve the highest performance compared with other design styles
 Highest development cost and design cycle time
 Design reuse is coming popular to alleviate the design effort
 Suitable for design of high-performance processors, FPGAs and memory chips
Testability
 Time and effort for chip test increase exponentially with design complexity
 The test task requires
Yield and manufacturability
 The ratio of good tested chips to total tested chips
 Functionality yield:
 Parametric yield
 Consider manufacturability of the chip in the design phase
 Sufficient tolerance to device fluctuations and margin for measurement uncertainty
Design Quality
 Generation of good test vectors
 Availability of reliable test fixture at speed
 Design of testable chip
 Testing the chips at lower speed
 Identify problems of shorts, opens and leakage current
 Detect logic and circuit design failure
 Test at the required speed
 Delay testing is performed at this stage
 Reliability
 Depends on the design and process conditions
 Reliability problems:
 Technology updateability
 Be technology-updated to new design rules
 Fast migration to new process technology
 “Dumb shrink” method with uniform scaling is rarely practiced
 Silicon compilation: generate physical layout from high-level specifications
 Electrostatic discharge (ESD) and electrical overstress (EOS)
 Electromigration
 Latch-up in CMOS I/O and internal circuits
 Hot-carrier induced aging
 Oxide breakdown
 Single event upset
 Power and ground bouncing
 On-chip noise and crosstalk
System to Silicon design
References
 https://www.tutorialspoint.com/vlsi_design/vlsi_design_digital_system.htm#:~:text=Very%2Dlarge%2D
scale%20integration%20(,microprocessor%20is%20a%20VLSI%20device.
 https://getmyuni.azureedge.net/assets/main/study-material/notes/electronics-
communication_engineering_microelectronics-vlsi-design_introduction-to-vlsi-design_notes.pdf
 https://picture.iczhiku.com/resource/eetop/ShiyDTRFDksWGXMV.pdf

More Related Content

What's hot

MOS-Nonideal charecteristics
MOS-Nonideal charecteristicsMOS-Nonideal charecteristics
MOS-Nonideal charecteristicsShanmuga Raju
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design pptAnil Yadav
 
Vlsi design and fabrication ppt
Vlsi design and fabrication  pptVlsi design and fabrication  ppt
Vlsi design and fabrication pptManjushree Mashal
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioningSushil Kundu
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flowAnish Gupta
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
VLSI subsystem design processes and illustration
VLSI subsystem design processes and illustrationVLSI subsystem design processes and illustration
VLSI subsystem design processes and illustrationVishal kakade
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical designDeiptii Das
 
Pipelining approach
Pipelining approachPipelining approach
Pipelining approachGopinathD17
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI illpa
 
CMOS Topic 5 -_cmos_inverter
CMOS Topic 5 -_cmos_inverterCMOS Topic 5 -_cmos_inverter
CMOS Topic 5 -_cmos_inverterIkhwan_Fakrudin
 

What's hot (20)

MOS-Nonideal charecteristics
MOS-Nonideal charecteristicsMOS-Nonideal charecteristics
MOS-Nonideal charecteristics
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Vlsi design and fabrication ppt
Vlsi design and fabrication  pptVlsi design and fabrication  ppt
Vlsi design and fabrication ppt
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
VLSI subsystem design processes and illustration
VLSI subsystem design processes and illustrationVLSI subsystem design processes and illustration
VLSI subsystem design processes and illustration
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 
Pipelining approach
Pipelining approachPipelining approach
Pipelining approach
 
SRAM
SRAMSRAM
SRAM
 
SoC Design
SoC DesignSoC Design
SoC Design
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Asic design flow
Asic design flowAsic design flow
Asic design flow
 
CMOS Topic 5 -_cmos_inverter
CMOS Topic 5 -_cmos_inverterCMOS Topic 5 -_cmos_inverter
CMOS Topic 5 -_cmos_inverter
 
Stick Diagram
Stick DiagramStick Diagram
Stick Diagram
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
 

Similar to VLSI Design Methodologies

Syste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptSyste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptmonzhalabs
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC designAishwaryaRavishankar8
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : IntroductionUsha Mehta
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designDr. Ravi Mishra
 
System On Chip
System On ChipSystem On Chip
System On ChipA B Shinde
 
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01khalid noman husainy
 
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case StudyTraditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case StudyAltera Corporation
 
Bharat gargi final project report
Bharat gargi final project reportBharat gargi final project report
Bharat gargi final project reportBharat Biyani
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)Sudhanshu Janwadkar
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)Shivam Gupta
 
The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...NECST Lab @ Politecnico di Milano
 
Chapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdfChapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdfVoThanhPhong3
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptindrajeetPatel22
 
Fpga asic technologies_flow
Fpga asic technologies_flowFpga asic technologies_flow
Fpga asic technologies_flowravi4all
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital icsaroosa khan
 

Similar to VLSI Design Methodologies (20)

Syste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptSyste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.ppt
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI design
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
 
Maquina estado
Maquina estadoMaquina estado
Maquina estado
 
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case StudyTraditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
 
Bharat gargi final project report
Bharat gargi final project reportBharat gargi final project report
Bharat gargi final project report
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)
 
ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt
 
The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...
 
Design method
Design methodDesign method
Design method
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
Chapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdfChapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdf
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.ppt
 
Fpga asic technologies_flow
Fpga asic technologies_flowFpga asic technologies_flow
Fpga asic technologies_flow
 
Fmcad08
Fmcad08Fmcad08
Fmcad08
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
 

Recently uploaded

Mental Health Awareness - a toolkit for supporting young minds
Mental Health Awareness - a toolkit for supporting young mindsMental Health Awareness - a toolkit for supporting young minds
Mental Health Awareness - a toolkit for supporting young mindsPooky Knightsmith
 
Congestive Cardiac Failure..presentation
Congestive Cardiac Failure..presentationCongestive Cardiac Failure..presentation
Congestive Cardiac Failure..presentationdeepaannamalai16
 
Man or Manufactured_ Redefining Humanity Through Biopunk Narratives.pptx
Man or Manufactured_ Redefining Humanity Through Biopunk Narratives.pptxMan or Manufactured_ Redefining Humanity Through Biopunk Narratives.pptx
Man or Manufactured_ Redefining Humanity Through Biopunk Narratives.pptxDhatriParmar
 
Daily Lesson Plan in Mathematics Quarter 4
Daily Lesson Plan in Mathematics Quarter 4Daily Lesson Plan in Mathematics Quarter 4
Daily Lesson Plan in Mathematics Quarter 4JOYLYNSAMANIEGO
 
4.16.24 21st Century Movements for Black Lives.pptx
4.16.24 21st Century Movements for Black Lives.pptx4.16.24 21st Century Movements for Black Lives.pptx
4.16.24 21st Century Movements for Black Lives.pptxmary850239
 
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...DhatriParmar
 
Grade Three -ELLNA-REVIEWER-ENGLISH.pptx
Grade Three -ELLNA-REVIEWER-ENGLISH.pptxGrade Three -ELLNA-REVIEWER-ENGLISH.pptx
Grade Three -ELLNA-REVIEWER-ENGLISH.pptxkarenfajardo43
 
4.11.24 Poverty and Inequality in America.pptx
4.11.24 Poverty and Inequality in America.pptx4.11.24 Poverty and Inequality in America.pptx
4.11.24 Poverty and Inequality in America.pptxmary850239
 
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITW
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITWQ-Factor HISPOL Quiz-6th April 2024, Quiz Club NITW
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITWQuiz Club NITW
 
Reading and Writing Skills 11 quarter 4 melc 1
Reading and Writing Skills 11 quarter 4 melc 1Reading and Writing Skills 11 quarter 4 melc 1
Reading and Writing Skills 11 quarter 4 melc 1GloryAnnCastre1
 
ROLES IN A STAGE PRODUCTION in arts.pptx
ROLES IN A STAGE PRODUCTION in arts.pptxROLES IN A STAGE PRODUCTION in arts.pptx
ROLES IN A STAGE PRODUCTION in arts.pptxVanesaIglesias10
 
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnv
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnvESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnv
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnvRicaMaeCastro1
 
Grade 9 Quarter 4 Dll Grade 9 Quarter 4 DLL.pdf
Grade 9 Quarter 4 Dll Grade 9 Quarter 4 DLL.pdfGrade 9 Quarter 4 Dll Grade 9 Quarter 4 DLL.pdf
Grade 9 Quarter 4 Dll Grade 9 Quarter 4 DLL.pdfJemuel Francisco
 
Textual Evidence in Reading and Writing of SHS
Textual Evidence in Reading and Writing of SHSTextual Evidence in Reading and Writing of SHS
Textual Evidence in Reading and Writing of SHSMae Pangan
 
Measures of Position DECILES for ungrouped data
Measures of Position DECILES for ungrouped dataMeasures of Position DECILES for ungrouped data
Measures of Position DECILES for ungrouped dataBabyAnnMotar
 
How to Fix XML SyntaxError in Odoo the 17
How to Fix XML SyntaxError in Odoo the 17How to Fix XML SyntaxError in Odoo the 17
How to Fix XML SyntaxError in Odoo the 17Celine George
 
Using Grammatical Signals Suitable to Patterns of Idea Development
Using Grammatical Signals Suitable to Patterns of Idea DevelopmentUsing Grammatical Signals Suitable to Patterns of Idea Development
Using Grammatical Signals Suitable to Patterns of Idea Developmentchesterberbo7
 
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)lakshayb543
 
week 1 cookery 8 fourth - quarter .pptx
week 1 cookery 8  fourth  -  quarter .pptxweek 1 cookery 8  fourth  -  quarter .pptx
week 1 cookery 8 fourth - quarter .pptxJonalynLegaspi2
 

Recently uploaded (20)

Mental Health Awareness - a toolkit for supporting young minds
Mental Health Awareness - a toolkit for supporting young mindsMental Health Awareness - a toolkit for supporting young minds
Mental Health Awareness - a toolkit for supporting young minds
 
Congestive Cardiac Failure..presentation
Congestive Cardiac Failure..presentationCongestive Cardiac Failure..presentation
Congestive Cardiac Failure..presentation
 
Man or Manufactured_ Redefining Humanity Through Biopunk Narratives.pptx
Man or Manufactured_ Redefining Humanity Through Biopunk Narratives.pptxMan or Manufactured_ Redefining Humanity Through Biopunk Narratives.pptx
Man or Manufactured_ Redefining Humanity Through Biopunk Narratives.pptx
 
Daily Lesson Plan in Mathematics Quarter 4
Daily Lesson Plan in Mathematics Quarter 4Daily Lesson Plan in Mathematics Quarter 4
Daily Lesson Plan in Mathematics Quarter 4
 
4.16.24 21st Century Movements for Black Lives.pptx
4.16.24 21st Century Movements for Black Lives.pptx4.16.24 21st Century Movements for Black Lives.pptx
4.16.24 21st Century Movements for Black Lives.pptx
 
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...
 
Grade Three -ELLNA-REVIEWER-ENGLISH.pptx
Grade Three -ELLNA-REVIEWER-ENGLISH.pptxGrade Three -ELLNA-REVIEWER-ENGLISH.pptx
Grade Three -ELLNA-REVIEWER-ENGLISH.pptx
 
4.11.24 Poverty and Inequality in America.pptx
4.11.24 Poverty and Inequality in America.pptx4.11.24 Poverty and Inequality in America.pptx
4.11.24 Poverty and Inequality in America.pptx
 
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITW
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITWQ-Factor HISPOL Quiz-6th April 2024, Quiz Club NITW
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITW
 
Reading and Writing Skills 11 quarter 4 melc 1
Reading and Writing Skills 11 quarter 4 melc 1Reading and Writing Skills 11 quarter 4 melc 1
Reading and Writing Skills 11 quarter 4 melc 1
 
ROLES IN A STAGE PRODUCTION in arts.pptx
ROLES IN A STAGE PRODUCTION in arts.pptxROLES IN A STAGE PRODUCTION in arts.pptx
ROLES IN A STAGE PRODUCTION in arts.pptx
 
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnv
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnvESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnv
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnv
 
Grade 9 Quarter 4 Dll Grade 9 Quarter 4 DLL.pdf
Grade 9 Quarter 4 Dll Grade 9 Quarter 4 DLL.pdfGrade 9 Quarter 4 Dll Grade 9 Quarter 4 DLL.pdf
Grade 9 Quarter 4 Dll Grade 9 Quarter 4 DLL.pdf
 
Textual Evidence in Reading and Writing of SHS
Textual Evidence in Reading and Writing of SHSTextual Evidence in Reading and Writing of SHS
Textual Evidence in Reading and Writing of SHS
 
Measures of Position DECILES for ungrouped data
Measures of Position DECILES for ungrouped dataMeasures of Position DECILES for ungrouped data
Measures of Position DECILES for ungrouped data
 
prashanth updated resume 2024 for Teaching Profession
prashanth updated resume 2024 for Teaching Professionprashanth updated resume 2024 for Teaching Profession
prashanth updated resume 2024 for Teaching Profession
 
How to Fix XML SyntaxError in Odoo the 17
How to Fix XML SyntaxError in Odoo the 17How to Fix XML SyntaxError in Odoo the 17
How to Fix XML SyntaxError in Odoo the 17
 
Using Grammatical Signals Suitable to Patterns of Idea Development
Using Grammatical Signals Suitable to Patterns of Idea DevelopmentUsing Grammatical Signals Suitable to Patterns of Idea Development
Using Grammatical Signals Suitable to Patterns of Idea Development
 
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
Visit to a blind student's school🧑‍🦯🧑‍🦯(community medicine)
 
week 1 cookery 8 fourth - quarter .pptx
week 1 cookery 8  fourth  -  quarter .pptxweek 1 cookery 8  fourth  -  quarter .pptx
week 1 cookery 8 fourth - quarter .pptx
 

VLSI Design Methodologies

  • 1. VLSI Design Methodologies Made By: Keshav(2K19/EC/091)
  • 2. Complexity and Productivity growth of IC’s  Complexity grows 58%/year (doubles every 18 months)  Productivity grows 21%/year (doubles every 31/2 years) unless methodology is updated
  • 3. Less Power Consumption Less Price/More Economical More or Less components per board Higher Reliability Improved Interconnects More Compactness High Speed of Operation Less Manufacturing Costs Area Utilization/Compactness VLSI Technology and Device Drivers
  • 4. VLSI Design: Overview  VLSI design is system design – Designing fast inverters is fun, but need knowledge of all aspects of digital design: algorithms, systems, circuits, fabrication, & packaging – Need to bridge gap between abstract vision of digital design and the underlying digital circuit and its peculiarities – Circuit-level optimization, verification, and testing techniques are important  Tall thin approach does not always work – Today’s designer is “fatter”, but well-versed in both high-level and low-level design skills  Performance Vs Design Cycle  Technology Window
  • 6.  Representations or abstractions:  Verification is essential in every step during the process  Behavior representation  Logic representation  Circuit representation  Layout representation System Specification Functional Design Functional Verification Logic Design Logic Verification Circuit Design Circuit Verification Physical Design Layout Verification Behavioral Representation Logic Representation Circuit Representation Layout Representation Fabrication & testing VLSI Design Flow
  • 7. Field programmable gate array (FPGA)  Logic gates with programmable interconnects  I/O buffers, configurable logic blocks (CLBs) and programmable interconnect structures  Requires no process steps for logic realization  For fast prototyping and small-volume ASIC production (short turn-around time)  Design flow of FPGA: VLSI Design Style  Behavioral description of its functionality  Technology-mapped into circuits or logic cells  Assigns logic cells to FPGA CLBs and determines the routing pattern
  • 8.  Configurable logic blocks (CLBs)  Programmable interconnect  Independent combinational function generators (memory look-up table)  Clock signal terminal  User-programmable multiplexers  Flip-flops  Six pass transistors per switch matrix interconnect point  Accomplished by data in RAM cells
  • 9. Gate array design  Uncommitted transistors separated by routing channels  Circuit implementation:  Ranks second after FPGA with a turn-around time of a few days  Chip utilization factor is higher than that of the FPGA  1st phase: generic masks for uncommitted transistors on each GA chip (stored)  2nd phase: Customization by (multiple) metal fabrication process
  • 10.  Standard-cell based design  Commonly used logics are developed, characterized and stored in a standard cell library  Cell library includes:  Standard cell arrangement:  The required logic circuits are realized using the cells in the library  Complete mask sets are developed for chip fabrication  One of the most prevalent design style for ASIC applications VLSI Design: Overview  Delay time versus load capacitance  Circuit simulation model  Timing simulation model  Fault simulation model  Cell data for place-and-route  Mask data  Fixed cell height  Parallel power and ground rails  Input and output pins are located on the upper and lower boundaries  Cells are placed side by side in standard-cell based design
  • 11. Layout of a standard cell and floorplan of a standard-cell based design
  • 12. Full custom design  Design and develop the IC from scratch  Possibly to achieve the highest performance compared with other design styles  Highest development cost and design cycle time  Design reuse is coming popular to alleviate the design effort  Suitable for design of high-performance processors, FPGAs and memory chips
  • 13. Testability  Time and effort for chip test increase exponentially with design complexity  The test task requires Yield and manufacturability  The ratio of good tested chips to total tested chips  Functionality yield:  Parametric yield  Consider manufacturability of the chip in the design phase  Sufficient tolerance to device fluctuations and margin for measurement uncertainty Design Quality  Generation of good test vectors  Availability of reliable test fixture at speed  Design of testable chip  Testing the chips at lower speed  Identify problems of shorts, opens and leakage current  Detect logic and circuit design failure  Test at the required speed  Delay testing is performed at this stage
  • 14.  Reliability  Depends on the design and process conditions  Reliability problems:  Technology updateability  Be technology-updated to new design rules  Fast migration to new process technology  “Dumb shrink” method with uniform scaling is rarely practiced  Silicon compilation: generate physical layout from high-level specifications  Electrostatic discharge (ESD) and electrical overstress (EOS)  Electromigration  Latch-up in CMOS I/O and internal circuits  Hot-carrier induced aging  Oxide breakdown  Single event upset  Power and ground bouncing  On-chip noise and crosstalk