SlideShare a Scribd company logo
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 604
The RTL Model of a Reconfigurable Pipelined MCM
Arya p kumar1, Mercy Mathew2
1M-TECH Student, Department of ECE, Believers Church Caarmel Engineering College, Kerala -689711,India
2Asst.Professor, Department of ECE, Believers Church Caarmel Engineering College, Kerala -689711, India
---------------------------------------------------------------------***---------------------------------------------------------------------
Abstract –This work introduces a reconfigurable constant
multiplier as multiplication withconstantcoefficients playsan
important role in digital signal processing(DSP).Placing
embedded multipliers into the fabric of field programmable
gate arrays(FPGAs) reduces the performance gap that were
formed between that of FPGAs and application specific
integrated circuits(ASICs).This work consists of two
parts.firstly,the register transfer level(RTL) model of the
architecture proposed by Moller et.al is constructed byusinga
ripple carry adder structure.Secondly,RTL model of the
proposed architecture is constructed by using carry look
ahead adder.The aim is to compare the performance of the
two models after synthesizing and mapping them on a FPGA
device library.The RTL models were constructed by using
verilog hardware description language. The functional
simulation and verification are performed using Modelsim
simulator.
Key Words: RTL, ModelSim, MCM, CSD
1. INTRODUCTION
Constant multiplication can be implemented by using
multiplier less additions, subtractions and bit-shifts. This is
easy because of the fact that bit shifts can be realized as
wires on ICs and special properties in the constant number
representations can be alone exploited. A multiple constant
multiplier (MCM) is used in a plethora of applications
including, digital, filtering, FFT computation, matrix
multiplications, transforms and block data manipulations.
They are widely used in DSP algorithms performedonvideo,
audio, speech and image data .Anumberofcitationrelated to
variable MCM structures are available [1],[2].The MCM
design approaches basically falls under four different
categories. They are the Common Sub expression
Elimination (CSE) algorithms, Digit based recording
algorithm, and Graph based algorithm and Hybrid
algorithms.
The Canonical Signed Digit (CSD) is a popular digit based
recoding algorithm. Thedigit basedrecordingalgorithmsare
simple, extremely fast, requires low computational cost and
they are linear in number of bits and can be easily applied to
constants with large bit length. However their performance
is usually worst. To achieve better performance, a modified
CSD algorithm is used. The CSE algorithm are the evolved
version of digit based recording algorithm. The basic idea of
this algorithm is to convert the multiplier constants on to a
convenient number system such as CSD. The second step is
to find common sub-patterns in the representation of
constants. The performance of the algorithm truly depends
on number representation.
Further, even though the considered CSE problem is non-
deterministic polynomial time complete its optimal solution
in general does not provide optimal MCM solutions. The
graph based algorithm uses the bottom top approach to
iteratively construct graph representing a multiplier block.
The graph construction is determined by a heuristic that
determines the next graph vertex to add to the graph. This
algorithm offers more degrees of freedom, by not being
restricted to a particular representation of constants or a
predefined graph topology and produce solutions with the
lowest number of operations. Among the graph based
algorithms, the Bull Horrocks (BH) algorithm, Bull Horrocks
modified (BHM)algorithmandn-dimensional reducedadder
graph (RAG-n) are popular. The Hybrid algorithm combines
two or more algorithm from different classes to obtain a
MCM structure. Among all these algorithms, itisevident that
the RAG-n yields the solution for an MCM with the smallest
number of add/subtract operations.
2. EXISTING ARCHITECTURE
Moller et.al proposed a pipelined MCM architecture
consisting of registers, adders,subtractorsand bit-shiftersto
implement a three-constant multiplier. This architecture is
built on the RPAG algorithm, having a 16 bit input data.
These three constants are supposed to be the normalized
filter coefficients of a typical FIR filter. The main problem in
implementing and computing convolution isspeed,area and
power which affect any DSP system. Speeding up
convolution using a Hardware Description Language for
design entry not only increases (improves) the level of
abstraction, but also opens new possibilities for using
programmable devices. Today, most DSPs suffer from
limitations in available address space, or the ability to
interface with surrounding systems. The use of high speed
FPGAs, together with DSPs, can often increase the system
bandwidth, by providing additional functionality to the
general purpose DSPs.
The selected set of multiplier constants in the above figure
191210,111110,133110.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 605
Fig -1: Single constant multiplier fused between the
constants 1912, 1111, 1331.
These three constants are supposed to be the normalized
filter coefficients of a typical FIR filter. In this, input x is a 16
bit variable in which they are stored in a register. The entire
figure can be divided into three sections as it switches
between three different constants sections. In left hand
section a multiplier is placed in which three selection lines
are used. Here multiplication is employed by repeated
additions, subtractions and bit shifts. The selection line of
the multiplexer determines which constantsare producedat
the output. Enabling of selection line 0 will produce a
constant 191210 at the output for further multiplication
process. Similarly, the selection line 1 and 2 will produce
constants 111110 and 133110 at the output. As three
constants are present, the switchingbetweenthegivenset of
constants of multipliers during run-time apart from using
large generic multipliers is necessary to realize hardware
efficient run-time adaptable filters[2], [3],[4],DCTaswell as
FFT implementations. This multiplier uses the suitable
constants from the set of constant {912, 1111, 1331}.The
adder used in above architecture is ripple carry adder.
3. PROPOSED ARCHITECTURE
Since three selection lines are present in the existing
architecture which switches betweenthreeconstants. Herea
fourth selection line is added which gives another constant
as the output. The four selection lines used are 00,01,10,11.
First it checks whether the first bit of the four selection lines
are 0 or 1.Ifthe first bit is 0,then it checks the second bitto be
0 or 1.If the second bit is 0,it will enable selection line 1 and
as a result ,the coefficient 1912 is generated at the output.
And the ripple carry adder is used in fig-1 which is replaced
by carry look ahead adder. And the performance between
the multiplier is compared. Switching between single and
that of multiple constants outputs are obtained by the
inclusion of multipliers among differentstages. Itisbasedon
a most favorablealgorithmwhichfusespreviouslyoptimized
pipelined constant multipliers generated by an obtainable
heuristic called RPAG. For such applications, two to six
constants or coefficients are common in a coefficient setand
switching betweencoefficientsaredoneduring run-timeand
is obtained by placing multiplexers keen on a number of
constant multiplication circuits. This will leads to the reuse
of repeated partial circuits and hence required resources.
The problem is to obtain the most possible solution during
the insertion of multiplexers among different stages of
multiplications. Large routing delays in high speed
applications can be often reduced by providing pipelining.
Pipeline registers are placed following every stages which
includes registers in the multiplexerstages. Thewirescanbe
connected with a left shift and a sign. The value vector
associated with each operation corresponds to the halfway
or output factors fora particularmultiplexerconfiguration.A
switchable adder/subtractor is depicted as anadderwithan
extra sign vector input.
Fig -2: Multiple constant multiplier switching between the
constants 1912, 1111, 1331, 1092.
4. RESULTS
Since ripple carry adders are replaced by carry look ahead
adder, significant variations are noticed.
Improvement in maximum clock frequency inmodel 2 when
compared to model 1 is about 3.53%. Improvement in
throughput in model 2 when compared to model 1 is about
3.53%. Improvement in multiplicationpersecondin model 2
when compared to model 1 is about 3.53%. Decrease in
latency time in model 1 when compared to model2 is
3.53%.In power utilization; model 2 consumes 1.65% more
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 606
power when compared to model 1.This is not so significant.
There is no significant difference in resource utilization.
Chart -1: Graph of reconfigurable multiplier switching
between constants 1912,1111,1331,1092.
4. CONCLUSION
The heuristic is necessary as the search space is getting too
large with increasing numbers of operations in the fused
circuits. Using the heuristic with its controllable search
width was able to find close-to-optimal or even optimal
fusion solutions within a feasible run-time. The RTL models
were constructed by using verilog hardware description
language. The functional simulation and verification are
performed using ModelSim simulator. After comparingboth
these models, the second model was found to be faster with
more throughputs, less latency and having moderate
resource utilization.
REFERENCES
[1] Konrad M¨oller, Martin Kumm, Marco Kleinlein,”
Reconfigurable Constant Multiplication for FPGAs,
” 2016 IEEE Transactions on Computer-Aided Design of
Integrated Circuits and Systems Digital Technology.
[2] D. Kornack and P. Rakic, “Cell Proliferation without
Neurogenesis in Adult Primate Neocortex,” Science, vol.
294, Dec. 2001, pp. 2127-2130,
doi:10.1126/science.1065467.
[3] M. Young, The Technical Writer’s Handbook. Mill Valley,
CA: University Science, 1989.
[4] R. Nicole, “Title of paper with only first word
capitalized,” J. Name Stand. Abbrev., in press.
[5] K. Elissa, “Title of paper if known,” unpublished.
[6] L. Aksoy, P. Flores, and J. Monteiro, “Multiplierless
Design of Folded DSP Blocks,” ACM Transactions on
Design Automation of ElectronicSystems, vol. 20, no. 1,
pp. 1–24, Nov. 2014[3] P. Lowenborg and H. Johansson,
“Minimax Design ofAdjustable-BandwidthLinear-Phase
FIR Filters,” Circuits and SystemsI:RegularPapers,IEEE
Transactions on, vol. 53, no. 2, 2006.
[7] M. Garrido, F. Qureshi, and O. Gustafsson, “Low-
Complexity Multiplierless Constant Rotators Based on
Combined Coefficient Selection and Shift-and-Add
Implementation (CCSSI),” IEEE TransactionsonCircuits
and Systems I: Regular Papers, vol. 61, no. 7, pp. 2002–
2012, July 2014.
[8] P. R. Cappello and K. Steiglitz, “Some Complexity Issues
in Digital Signal Processing,” Acoustics, vol. 32, no.5,pp.
1037–1041, Oct. 1984.

More Related Content

What's hot

NEW BER ANALYSIS OF OFDM SYSTEM OVER NAKAGAMI-n (RICE) FADING CHANNEL
NEW BER ANALYSIS OF OFDM SYSTEM OVER NAKAGAMI-n (RICE) FADING CHANNELNEW BER ANALYSIS OF OFDM SYSTEM OVER NAKAGAMI-n (RICE) FADING CHANNEL
NEW BER ANALYSIS OF OFDM SYSTEM OVER NAKAGAMI-n (RICE) FADING CHANNEL
ijcseit
 
A041203010014
A041203010014A041203010014
A041203010014
IOSR-JEN
 
At34278282
At34278282At34278282
At34278282
IJERA Editor
 
An Area Efficient Mixed Decimation MDF Architecture for Radix 22 Parallel FFT
An Area Efficient Mixed Decimation MDF Architecture for Radix 22  Parallel FFTAn Area Efficient Mixed Decimation MDF Architecture for Radix 22  Parallel FFT
An Area Efficient Mixed Decimation MDF Architecture for Radix 22 Parallel FFT
IRJET Journal
 
Design of Optimized FIR Filter Using FCSD Representation
Design  of  Optimized  FIR  Filter  Using  FCSD Representation Design  of  Optimized  FIR  Filter  Using  FCSD Representation
Design of Optimized FIR Filter Using FCSD Representation
IJEEE
 
IRJET-Simulation of Channel-Estimation for Digital Communication System based...
IRJET-Simulation of Channel-Estimation for Digital Communication System based...IRJET-Simulation of Channel-Estimation for Digital Communication System based...
IRJET-Simulation of Channel-Estimation for Digital Communication System based...
IRJET Journal
 
MODIFIED LLL ALGORITHM WITH SHIFTED START COLUMN FOR COMPLEXITY REDUCTION
MODIFIED LLL ALGORITHM WITH SHIFTED START COLUMN FOR COMPLEXITY REDUCTIONMODIFIED LLL ALGORITHM WITH SHIFTED START COLUMN FOR COMPLEXITY REDUCTION
MODIFIED LLL ALGORITHM WITH SHIFTED START COLUMN FOR COMPLEXITY REDUCTION
ijwmn
 
Link adaptation
Link adaptationLink adaptation
Link adaptation
DILSHAD AHMAD
 
FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...
FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...
FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...
ijsrd.com
 
Link adaptation and Adaptive coding,modulation system
Link adaptation and Adaptive coding,modulation systemLink adaptation and Adaptive coding,modulation system
Link adaptation and Adaptive coding,modulation system
DILSHAD AHMAD
 
Transpose Form Fir Filter Design for Fixed and Reconfigurable Coefficients
Transpose Form Fir Filter Design for Fixed and Reconfigurable CoefficientsTranspose Form Fir Filter Design for Fixed and Reconfigurable Coefficients
Transpose Form Fir Filter Design for Fixed and Reconfigurable Coefficients
IRJET Journal
 
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
IOSR Journals
 
Hybrid ldpc and stbc algorithms to improve ber reduction in ofdm
Hybrid ldpc and stbc algorithms to improve ber reduction in ofdmHybrid ldpc and stbc algorithms to improve ber reduction in ofdm
Hybrid ldpc and stbc algorithms to improve ber reduction in ofdmIAEME Publication
 
IRJET- Survey Paper on Paper Reduction for MIMO-OFDM Systems using PTS Scheme
IRJET- 	  Survey Paper on Paper Reduction for MIMO-OFDM Systems using PTS SchemeIRJET- 	  Survey Paper on Paper Reduction for MIMO-OFDM Systems using PTS Scheme
IRJET- Survey Paper on Paper Reduction for MIMO-OFDM Systems using PTS Scheme
IRJET Journal
 
IRJET- Performance Analysis of a Synchronized Receiver over Noiseless and Fad...
IRJET- Performance Analysis of a Synchronized Receiver over Noiseless and Fad...IRJET- Performance Analysis of a Synchronized Receiver over Noiseless and Fad...
IRJET- Performance Analysis of a Synchronized Receiver over Noiseless and Fad...
IRJET Journal
 
Comparative study of selected subcarrier index modulation OFDM schemes
Comparative study of selected subcarrier index modulation OFDM schemesComparative study of selected subcarrier index modulation OFDM schemes
Comparative study of selected subcarrier index modulation OFDM schemes
TELKOMNIKA JOURNAL
 
Performance evaluation of high mobility OFDM channel estimation techniques
Performance evaluation of high mobility OFDM channel estimation techniques Performance evaluation of high mobility OFDM channel estimation techniques
Performance evaluation of high mobility OFDM channel estimation techniques
IJECEIAES
 
A comparative study on synchronization algorithms for various modulation tech...
A comparative study on synchronization algorithms for various modulation tech...A comparative study on synchronization algorithms for various modulation tech...
A comparative study on synchronization algorithms for various modulation tech...
IAEME Publication
 

What's hot (19)

NEW BER ANALYSIS OF OFDM SYSTEM OVER NAKAGAMI-n (RICE) FADING CHANNEL
NEW BER ANALYSIS OF OFDM SYSTEM OVER NAKAGAMI-n (RICE) FADING CHANNELNEW BER ANALYSIS OF OFDM SYSTEM OVER NAKAGAMI-n (RICE) FADING CHANNEL
NEW BER ANALYSIS OF OFDM SYSTEM OVER NAKAGAMI-n (RICE) FADING CHANNEL
 
A041203010014
A041203010014A041203010014
A041203010014
 
At34278282
At34278282At34278282
At34278282
 
An Area Efficient Mixed Decimation MDF Architecture for Radix 22 Parallel FFT
An Area Efficient Mixed Decimation MDF Architecture for Radix 22  Parallel FFTAn Area Efficient Mixed Decimation MDF Architecture for Radix 22  Parallel FFT
An Area Efficient Mixed Decimation MDF Architecture for Radix 22 Parallel FFT
 
Design of Optimized FIR Filter Using FCSD Representation
Design  of  Optimized  FIR  Filter  Using  FCSD Representation Design  of  Optimized  FIR  Filter  Using  FCSD Representation
Design of Optimized FIR Filter Using FCSD Representation
 
IRJET-Simulation of Channel-Estimation for Digital Communication System based...
IRJET-Simulation of Channel-Estimation for Digital Communication System based...IRJET-Simulation of Channel-Estimation for Digital Communication System based...
IRJET-Simulation of Channel-Estimation for Digital Communication System based...
 
MODIFIED LLL ALGORITHM WITH SHIFTED START COLUMN FOR COMPLEXITY REDUCTION
MODIFIED LLL ALGORITHM WITH SHIFTED START COLUMN FOR COMPLEXITY REDUCTIONMODIFIED LLL ALGORITHM WITH SHIFTED START COLUMN FOR COMPLEXITY REDUCTION
MODIFIED LLL ALGORITHM WITH SHIFTED START COLUMN FOR COMPLEXITY REDUCTION
 
Link adaptation
Link adaptationLink adaptation
Link adaptation
 
FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...
FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...
FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...
 
Link adaptation and Adaptive coding,modulation system
Link adaptation and Adaptive coding,modulation systemLink adaptation and Adaptive coding,modulation system
Link adaptation and Adaptive coding,modulation system
 
Transpose Form Fir Filter Design for Fixed and Reconfigurable Coefficients
Transpose Form Fir Filter Design for Fixed and Reconfigurable CoefficientsTranspose Form Fir Filter Design for Fixed and Reconfigurable Coefficients
Transpose Form Fir Filter Design for Fixed and Reconfigurable Coefficients
 
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
 
paper1
paper1paper1
paper1
 
Hybrid ldpc and stbc algorithms to improve ber reduction in ofdm
Hybrid ldpc and stbc algorithms to improve ber reduction in ofdmHybrid ldpc and stbc algorithms to improve ber reduction in ofdm
Hybrid ldpc and stbc algorithms to improve ber reduction in ofdm
 
IRJET- Survey Paper on Paper Reduction for MIMO-OFDM Systems using PTS Scheme
IRJET- 	  Survey Paper on Paper Reduction for MIMO-OFDM Systems using PTS SchemeIRJET- 	  Survey Paper on Paper Reduction for MIMO-OFDM Systems using PTS Scheme
IRJET- Survey Paper on Paper Reduction for MIMO-OFDM Systems using PTS Scheme
 
IRJET- Performance Analysis of a Synchronized Receiver over Noiseless and Fad...
IRJET- Performance Analysis of a Synchronized Receiver over Noiseless and Fad...IRJET- Performance Analysis of a Synchronized Receiver over Noiseless and Fad...
IRJET- Performance Analysis of a Synchronized Receiver over Noiseless and Fad...
 
Comparative study of selected subcarrier index modulation OFDM schemes
Comparative study of selected subcarrier index modulation OFDM schemesComparative study of selected subcarrier index modulation OFDM schemes
Comparative study of selected subcarrier index modulation OFDM schemes
 
Performance evaluation of high mobility OFDM channel estimation techniques
Performance evaluation of high mobility OFDM channel estimation techniques Performance evaluation of high mobility OFDM channel estimation techniques
Performance evaluation of high mobility OFDM channel estimation techniques
 
A comparative study on synchronization algorithms for various modulation tech...
A comparative study on synchronization algorithms for various modulation tech...A comparative study on synchronization algorithms for various modulation tech...
A comparative study on synchronization algorithms for various modulation tech...
 

Similar to IRJET- The RTL Model of a Reconfigurable Pipelined MCM

IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET Journal
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
IJERD Editor
 
Analysis of various mcm algorithms for reconfigurable rrc fir filter
Analysis of various mcm algorithms for reconfigurable rrc fir filterAnalysis of various mcm algorithms for reconfigurable rrc fir filter
Analysis of various mcm algorithms for reconfigurable rrc fir filter
eSAT Journals
 
Efficient very large-scale integration architecture design of proportionate-...
Efficient very large-scale integration architecture design of  proportionate-...Efficient very large-scale integration architecture design of  proportionate-...
Efficient very large-scale integration architecture design of proportionate-...
International Journal of Reconfigurable and Embedded Systems
 
FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithm
cscpconf
 
FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithm
cscpconf
 
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
IRJET Journal
 
BER Performance Improvement for 4 X 4 MIMO Single Carrier FDMA System Using M...
BER Performance Improvement for 4 X 4 MIMO Single Carrier FDMA System Using M...BER Performance Improvement for 4 X 4 MIMO Single Carrier FDMA System Using M...
BER Performance Improvement for 4 X 4 MIMO Single Carrier FDMA System Using M...
IRJET Journal
 
Design and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemesDesign and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemes
CSITiaesprime
 
IRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full Adders
IRJET Journal
 
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
IRJET Journal
 
Design of an Efficient Reconfigurable Fir Filter for Multi Standard Digital u...
Design of an Efficient Reconfigurable Fir Filter for Multi Standard Digital u...Design of an Efficient Reconfigurable Fir Filter for Multi Standard Digital u...
Design of an Efficient Reconfigurable Fir Filter for Multi Standard Digital u...
IRJET Journal
 
IRJET- A New High Speed Wide Fan in Carry Look Ahead Adder Design using M...
IRJET-  	  A New High Speed Wide Fan in Carry Look Ahead Adder Design using M...IRJET-  	  A New High Speed Wide Fan in Carry Look Ahead Adder Design using M...
IRJET- A New High Speed Wide Fan in Carry Look Ahead Adder Design using M...
IRJET Journal
 
Fpga based efficient multiplier for image processing applications using recur...
Fpga based efficient multiplier for image processing applications using recur...Fpga based efficient multiplier for image processing applications using recur...
Fpga based efficient multiplier for image processing applications using recur...
VLSICS Design
 
IRJET-Error Detection and Correction using Turbo Codes
IRJET-Error Detection and Correction using Turbo CodesIRJET-Error Detection and Correction using Turbo Codes
IRJET-Error Detection and Correction using Turbo Codes
IRJET Journal
 
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSPERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
VLSICS Design
 
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSPERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
VLSICS Design
 
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSPERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
VLSICS Design
 
Implementation of a bit error rate tester of a wireless communication system ...
Implementation of a bit error rate tester of a wireless communication system ...Implementation of a bit error rate tester of a wireless communication system ...
Implementation of a bit error rate tester of a wireless communication system ...
eSAT Publishing House
 
DESIGN OF DELAY COMPUTATION METHOD FOR CYCLOTOMIC FAST FOURIER TRANSFORM
DESIGN OF DELAY COMPUTATION METHOD FOR CYCLOTOMIC FAST FOURIER TRANSFORMDESIGN OF DELAY COMPUTATION METHOD FOR CYCLOTOMIC FAST FOURIER TRANSFORM
DESIGN OF DELAY COMPUTATION METHOD FOR CYCLOTOMIC FAST FOURIER TRANSFORM
sipij
 

Similar to IRJET- The RTL Model of a Reconfigurable Pipelined MCM (20)

IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
Analysis of various mcm algorithms for reconfigurable rrc fir filter
Analysis of various mcm algorithms for reconfigurable rrc fir filterAnalysis of various mcm algorithms for reconfigurable rrc fir filter
Analysis of various mcm algorithms for reconfigurable rrc fir filter
 
Efficient very large-scale integration architecture design of proportionate-...
Efficient very large-scale integration architecture design of  proportionate-...Efficient very large-scale integration architecture design of  proportionate-...
Efficient very large-scale integration architecture design of proportionate-...
 
FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithm
 
FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithm
 
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
 
BER Performance Improvement for 4 X 4 MIMO Single Carrier FDMA System Using M...
BER Performance Improvement for 4 X 4 MIMO Single Carrier FDMA System Using M...BER Performance Improvement for 4 X 4 MIMO Single Carrier FDMA System Using M...
BER Performance Improvement for 4 X 4 MIMO Single Carrier FDMA System Using M...
 
Design and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemesDesign and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemes
 
IRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full Adders
 
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
 
Design of an Efficient Reconfigurable Fir Filter for Multi Standard Digital u...
Design of an Efficient Reconfigurable Fir Filter for Multi Standard Digital u...Design of an Efficient Reconfigurable Fir Filter for Multi Standard Digital u...
Design of an Efficient Reconfigurable Fir Filter for Multi Standard Digital u...
 
IRJET- A New High Speed Wide Fan in Carry Look Ahead Adder Design using M...
IRJET-  	  A New High Speed Wide Fan in Carry Look Ahead Adder Design using M...IRJET-  	  A New High Speed Wide Fan in Carry Look Ahead Adder Design using M...
IRJET- A New High Speed Wide Fan in Carry Look Ahead Adder Design using M...
 
Fpga based efficient multiplier for image processing applications using recur...
Fpga based efficient multiplier for image processing applications using recur...Fpga based efficient multiplier for image processing applications using recur...
Fpga based efficient multiplier for image processing applications using recur...
 
IRJET-Error Detection and Correction using Turbo Codes
IRJET-Error Detection and Correction using Turbo CodesIRJET-Error Detection and Correction using Turbo Codes
IRJET-Error Detection and Correction using Turbo Codes
 
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSPERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
 
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSPERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
 
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSPERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONS
 
Implementation of a bit error rate tester of a wireless communication system ...
Implementation of a bit error rate tester of a wireless communication system ...Implementation of a bit error rate tester of a wireless communication system ...
Implementation of a bit error rate tester of a wireless communication system ...
 
DESIGN OF DELAY COMPUTATION METHOD FOR CYCLOTOMIC FAST FOURIER TRANSFORM
DESIGN OF DELAY COMPUTATION METHOD FOR CYCLOTOMIC FAST FOURIER TRANSFORMDESIGN OF DELAY COMPUTATION METHOD FOR CYCLOTOMIC FAST FOURIER TRANSFORM
DESIGN OF DELAY COMPUTATION METHOD FOR CYCLOTOMIC FAST FOURIER TRANSFORM
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
IRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
IRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
IRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
IRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
IRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
IRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
IRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
IRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
obonagu
 
A review on techniques and modelling methodologies used for checking electrom...
A review on techniques and modelling methodologies used for checking electrom...A review on techniques and modelling methodologies used for checking electrom...
A review on techniques and modelling methodologies used for checking electrom...
nooriasukmaningtyas
 
digital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdfdigital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdf
drwaing
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
Massimo Talia
 
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdfBPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
MIGUELANGEL966976
 
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
zwunae
 
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
zwunae
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
ydteq
 
一比一原版(Otago毕业证)奥塔哥大学毕业证成绩单如何办理
一比一原版(Otago毕业证)奥塔哥大学毕业证成绩单如何办理一比一原版(Otago毕业证)奥塔哥大学毕业证成绩单如何办理
一比一原版(Otago毕业证)奥塔哥大学毕业证成绩单如何办理
dxobcob
 
Recycled Concrete Aggregate in Construction Part III
Recycled Concrete Aggregate in Construction Part IIIRecycled Concrete Aggregate in Construction Part III
Recycled Concrete Aggregate in Construction Part III
Aditya Rajan Patra
 
ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024
Rahul
 
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
insn4465
 
Literature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptxLiterature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptx
Dr Ramhari Poudyal
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
thanhdowork
 
DfMAy 2024 - key insights and contributions
DfMAy 2024 - key insights and contributionsDfMAy 2024 - key insights and contributions
DfMAy 2024 - key insights and contributions
gestioneergodomus
 
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
Mukeshwaran Balu
 
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptxTOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
nikitacareer3
 
bank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdfbank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdf
Divyam548318
 
AIR POLLUTION lecture EnE203 updated.pdf
AIR POLLUTION lecture EnE203 updated.pdfAIR POLLUTION lecture EnE203 updated.pdf
AIR POLLUTION lecture EnE203 updated.pdf
RicletoEspinosa1
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
JoytuBarua2
 

Recently uploaded (20)

原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
 
A review on techniques and modelling methodologies used for checking electrom...
A review on techniques and modelling methodologies used for checking electrom...A review on techniques and modelling methodologies used for checking electrom...
A review on techniques and modelling methodologies used for checking electrom...
 
digital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdfdigital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdf
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
 
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdfBPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
 
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
 
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
 
一比一原版(Otago毕业证)奥塔哥大学毕业证成绩单如何办理
一比一原版(Otago毕业证)奥塔哥大学毕业证成绩单如何办理一比一原版(Otago毕业证)奥塔哥大学毕业证成绩单如何办理
一比一原版(Otago毕业证)奥塔哥大学毕业证成绩单如何办理
 
Recycled Concrete Aggregate in Construction Part III
Recycled Concrete Aggregate in Construction Part IIIRecycled Concrete Aggregate in Construction Part III
Recycled Concrete Aggregate in Construction Part III
 
ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024
 
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
 
Literature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptxLiterature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptx
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
 
DfMAy 2024 - key insights and contributions
DfMAy 2024 - key insights and contributionsDfMAy 2024 - key insights and contributions
DfMAy 2024 - key insights and contributions
 
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
 
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptxTOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
 
bank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdfbank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdf
 
AIR POLLUTION lecture EnE203 updated.pdf
AIR POLLUTION lecture EnE203 updated.pdfAIR POLLUTION lecture EnE203 updated.pdf
AIR POLLUTION lecture EnE203 updated.pdf
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
 

IRJET- The RTL Model of a Reconfigurable Pipelined MCM

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 604 The RTL Model of a Reconfigurable Pipelined MCM Arya p kumar1, Mercy Mathew2 1M-TECH Student, Department of ECE, Believers Church Caarmel Engineering College, Kerala -689711,India 2Asst.Professor, Department of ECE, Believers Church Caarmel Engineering College, Kerala -689711, India ---------------------------------------------------------------------***--------------------------------------------------------------------- Abstract –This work introduces a reconfigurable constant multiplier as multiplication withconstantcoefficients playsan important role in digital signal processing(DSP).Placing embedded multipliers into the fabric of field programmable gate arrays(FPGAs) reduces the performance gap that were formed between that of FPGAs and application specific integrated circuits(ASICs).This work consists of two parts.firstly,the register transfer level(RTL) model of the architecture proposed by Moller et.al is constructed byusinga ripple carry adder structure.Secondly,RTL model of the proposed architecture is constructed by using carry look ahead adder.The aim is to compare the performance of the two models after synthesizing and mapping them on a FPGA device library.The RTL models were constructed by using verilog hardware description language. The functional simulation and verification are performed using Modelsim simulator. Key Words: RTL, ModelSim, MCM, CSD 1. INTRODUCTION Constant multiplication can be implemented by using multiplier less additions, subtractions and bit-shifts. This is easy because of the fact that bit shifts can be realized as wires on ICs and special properties in the constant number representations can be alone exploited. A multiple constant multiplier (MCM) is used in a plethora of applications including, digital, filtering, FFT computation, matrix multiplications, transforms and block data manipulations. They are widely used in DSP algorithms performedonvideo, audio, speech and image data .Anumberofcitationrelated to variable MCM structures are available [1],[2].The MCM design approaches basically falls under four different categories. They are the Common Sub expression Elimination (CSE) algorithms, Digit based recording algorithm, and Graph based algorithm and Hybrid algorithms. The Canonical Signed Digit (CSD) is a popular digit based recoding algorithm. Thedigit basedrecordingalgorithmsare simple, extremely fast, requires low computational cost and they are linear in number of bits and can be easily applied to constants with large bit length. However their performance is usually worst. To achieve better performance, a modified CSD algorithm is used. The CSE algorithm are the evolved version of digit based recording algorithm. The basic idea of this algorithm is to convert the multiplier constants on to a convenient number system such as CSD. The second step is to find common sub-patterns in the representation of constants. The performance of the algorithm truly depends on number representation. Further, even though the considered CSE problem is non- deterministic polynomial time complete its optimal solution in general does not provide optimal MCM solutions. The graph based algorithm uses the bottom top approach to iteratively construct graph representing a multiplier block. The graph construction is determined by a heuristic that determines the next graph vertex to add to the graph. This algorithm offers more degrees of freedom, by not being restricted to a particular representation of constants or a predefined graph topology and produce solutions with the lowest number of operations. Among the graph based algorithms, the Bull Horrocks (BH) algorithm, Bull Horrocks modified (BHM)algorithmandn-dimensional reducedadder graph (RAG-n) are popular. The Hybrid algorithm combines two or more algorithm from different classes to obtain a MCM structure. Among all these algorithms, itisevident that the RAG-n yields the solution for an MCM with the smallest number of add/subtract operations. 2. EXISTING ARCHITECTURE Moller et.al proposed a pipelined MCM architecture consisting of registers, adders,subtractorsand bit-shiftersto implement a three-constant multiplier. This architecture is built on the RPAG algorithm, having a 16 bit input data. These three constants are supposed to be the normalized filter coefficients of a typical FIR filter. The main problem in implementing and computing convolution isspeed,area and power which affect any DSP system. Speeding up convolution using a Hardware Description Language for design entry not only increases (improves) the level of abstraction, but also opens new possibilities for using programmable devices. Today, most DSPs suffer from limitations in available address space, or the ability to interface with surrounding systems. The use of high speed FPGAs, together with DSPs, can often increase the system bandwidth, by providing additional functionality to the general purpose DSPs. The selected set of multiplier constants in the above figure 191210,111110,133110.
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 605 Fig -1: Single constant multiplier fused between the constants 1912, 1111, 1331. These three constants are supposed to be the normalized filter coefficients of a typical FIR filter. In this, input x is a 16 bit variable in which they are stored in a register. The entire figure can be divided into three sections as it switches between three different constants sections. In left hand section a multiplier is placed in which three selection lines are used. Here multiplication is employed by repeated additions, subtractions and bit shifts. The selection line of the multiplexer determines which constantsare producedat the output. Enabling of selection line 0 will produce a constant 191210 at the output for further multiplication process. Similarly, the selection line 1 and 2 will produce constants 111110 and 133110 at the output. As three constants are present, the switchingbetweenthegivenset of constants of multipliers during run-time apart from using large generic multipliers is necessary to realize hardware efficient run-time adaptable filters[2], [3],[4],DCTaswell as FFT implementations. This multiplier uses the suitable constants from the set of constant {912, 1111, 1331}.The adder used in above architecture is ripple carry adder. 3. PROPOSED ARCHITECTURE Since three selection lines are present in the existing architecture which switches betweenthreeconstants. Herea fourth selection line is added which gives another constant as the output. The four selection lines used are 00,01,10,11. First it checks whether the first bit of the four selection lines are 0 or 1.Ifthe first bit is 0,then it checks the second bitto be 0 or 1.If the second bit is 0,it will enable selection line 1 and as a result ,the coefficient 1912 is generated at the output. And the ripple carry adder is used in fig-1 which is replaced by carry look ahead adder. And the performance between the multiplier is compared. Switching between single and that of multiple constants outputs are obtained by the inclusion of multipliers among differentstages. Itisbasedon a most favorablealgorithmwhichfusespreviouslyoptimized pipelined constant multipliers generated by an obtainable heuristic called RPAG. For such applications, two to six constants or coefficients are common in a coefficient setand switching betweencoefficientsaredoneduring run-timeand is obtained by placing multiplexers keen on a number of constant multiplication circuits. This will leads to the reuse of repeated partial circuits and hence required resources. The problem is to obtain the most possible solution during the insertion of multiplexers among different stages of multiplications. Large routing delays in high speed applications can be often reduced by providing pipelining. Pipeline registers are placed following every stages which includes registers in the multiplexerstages. Thewirescanbe connected with a left shift and a sign. The value vector associated with each operation corresponds to the halfway or output factors fora particularmultiplexerconfiguration.A switchable adder/subtractor is depicted as anadderwithan extra sign vector input. Fig -2: Multiple constant multiplier switching between the constants 1912, 1111, 1331, 1092. 4. RESULTS Since ripple carry adders are replaced by carry look ahead adder, significant variations are noticed. Improvement in maximum clock frequency inmodel 2 when compared to model 1 is about 3.53%. Improvement in throughput in model 2 when compared to model 1 is about 3.53%. Improvement in multiplicationpersecondin model 2 when compared to model 1 is about 3.53%. Decrease in latency time in model 1 when compared to model2 is 3.53%.In power utilization; model 2 consumes 1.65% more
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 606 power when compared to model 1.This is not so significant. There is no significant difference in resource utilization. Chart -1: Graph of reconfigurable multiplier switching between constants 1912,1111,1331,1092. 4. CONCLUSION The heuristic is necessary as the search space is getting too large with increasing numbers of operations in the fused circuits. Using the heuristic with its controllable search width was able to find close-to-optimal or even optimal fusion solutions within a feasible run-time. The RTL models were constructed by using verilog hardware description language. The functional simulation and verification are performed using ModelSim simulator. After comparingboth these models, the second model was found to be faster with more throughputs, less latency and having moderate resource utilization. REFERENCES [1] Konrad M¨oller, Martin Kumm, Marco Kleinlein,” Reconfigurable Constant Multiplication for FPGAs, ” 2016 IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems Digital Technology. [2] D. Kornack and P. Rakic, “Cell Proliferation without Neurogenesis in Adult Primate Neocortex,” Science, vol. 294, Dec. 2001, pp. 2127-2130, doi:10.1126/science.1065467. [3] M. Young, The Technical Writer’s Handbook. Mill Valley, CA: University Science, 1989. [4] R. Nicole, “Title of paper with only first word capitalized,” J. Name Stand. Abbrev., in press. [5] K. Elissa, “Title of paper if known,” unpublished. [6] L. Aksoy, P. Flores, and J. Monteiro, “Multiplierless Design of Folded DSP Blocks,” ACM Transactions on Design Automation of ElectronicSystems, vol. 20, no. 1, pp. 1–24, Nov. 2014[3] P. Lowenborg and H. Johansson, “Minimax Design ofAdjustable-BandwidthLinear-Phase FIR Filters,” Circuits and SystemsI:RegularPapers,IEEE Transactions on, vol. 53, no. 2, 2006. [7] M. Garrido, F. Qureshi, and O. Gustafsson, “Low- Complexity Multiplierless Constant Rotators Based on Combined Coefficient Selection and Shift-and-Add Implementation (CCSSI),” IEEE TransactionsonCircuits and Systems I: Regular Papers, vol. 61, no. 7, pp. 2002– 2012, July 2014. [8] P. R. Cappello and K. Steiglitz, “Some Complexity Issues in Digital Signal Processing,” Acoustics, vol. 32, no.5,pp. 1037–1041, Oct. 1984.