Complete Simulation of IEC 101 Client as per Protocol Standard including File transfer. Support Balanced and unbalanced modes.
Add up to 50 Client node in the simulator. Every Client node will work independently.
Send all type of commands, parameter activation, file transfer.
Features
Multiple Master / Client Simulation
In a Single Client(link) simulate Multiple Stations (Common Address)
Supports Balanced & Unbalanced Mode
Supports "Select-Before-Operate" SBO or "Direct-execute" command execution modes
supports File Transfer, Directory commands
Clock synchronization
License Perpetual One-time payment, royalty-free Neither license manager nor dongle required
Support all type of Typeid ASDU, APCI, APDU, Command activation and termination commands, support all Cause of transmission (COT), Parameter in control direction.
This document provides an overview of VLSI design and testing processes. It discusses various stages in the design flow from behavioral to physical design. It describes the importance of verification, validation, and testing at each stage to detect errors and ensure quality. These include simulation, emulation, formal methods, probe testing, and burn-in testing. Factors like process variations that affect speed are addressed through speed binning. The document emphasizes that testing is crucial throughout the design and manufacturing cycles to find faults and prevent defective chips from reaching customers.
The document discusses CPU verification. It describes verifying at both the architecture and microarchitecture levels. Architecture verification ensures instruction set compliance through random instruction sequences. Microarchitecture verification focuses on implementation details like pipelines and caches using constrained random verification. Milestones track progress through metrics like test plan completion, regression pass rates, functional coverage, and bug trends.
This document discusses sources of variation in integrated circuit manufacturing and design. It covers variations that can occur during the front-end-of-line and back-end-of-line manufacturing processes, as well as variations due to operating conditions like voltage, temperature, and aging over time. The document also discusses approaches for modeling and accounting for variations, such as using timing corners in sign-off analysis and parametric on-chip variation modeling in the standard cell library.
Chuyên phân phối biến tần Fuji Electric : Biến tần ACE, biến tần NEW MINI, biến tần AQUA, biến tần HVAC,.. (Nhật Bản)
Beeteco.com là trang mua sắm trực tuyến thiết bị điện - Tự động hóa uy tín tại Việt Nam.
Chuyên cung cấp các thiết bị: Đèn báo nút nhấn, Relay, Timer, Contactor, MCCB ELCB, Biến tần, Van, Thiết bị cảm biến, phụ kiện tủ điện, .... Từ các thương hiệu hàng đầu trên thế giới.
www.beeteco.com @ Công ty TNHH TM KT ASTER
Số 7 Đại Lộ Độc Lập, KCN Sóng Thần 1, P. Dĩ An, Tx. Dĩ An, Bình Dương
This document discusses various methods for verifying VLSI chip designs, including functional verification through simulation, formal verification techniques like equivalence checking and model checking, and timing analysis. It describes functional verification approaches like black-box, white-box, and grey-box testing. It also notes limitations of functional verification and outlines how formal verification can be used as an alternative to verify that small non-functional design modifications do not change functionality. Specific formal verification methods like equivalence checking, model checking, and binary decision diagrams are introduced.
This document provides an overview of VLSI design and testing processes. It discusses various stages in the design flow from behavioral to physical design. It describes the importance of verification, validation, and testing at each stage to detect errors and ensure quality. These include simulation, emulation, formal methods, probe testing, and burn-in testing. Factors like process variations that affect speed are addressed through speed binning. The document emphasizes that testing is crucial throughout the design and manufacturing cycles to find faults and prevent defective chips from reaching customers.
The document discusses CPU verification. It describes verifying at both the architecture and microarchitecture levels. Architecture verification ensures instruction set compliance through random instruction sequences. Microarchitecture verification focuses on implementation details like pipelines and caches using constrained random verification. Milestones track progress through metrics like test plan completion, regression pass rates, functional coverage, and bug trends.
This document discusses sources of variation in integrated circuit manufacturing and design. It covers variations that can occur during the front-end-of-line and back-end-of-line manufacturing processes, as well as variations due to operating conditions like voltage, temperature, and aging over time. The document also discusses approaches for modeling and accounting for variations, such as using timing corners in sign-off analysis and parametric on-chip variation modeling in the standard cell library.
Chuyên phân phối biến tần Fuji Electric : Biến tần ACE, biến tần NEW MINI, biến tần AQUA, biến tần HVAC,.. (Nhật Bản)
Beeteco.com là trang mua sắm trực tuyến thiết bị điện - Tự động hóa uy tín tại Việt Nam.
Chuyên cung cấp các thiết bị: Đèn báo nút nhấn, Relay, Timer, Contactor, MCCB ELCB, Biến tần, Van, Thiết bị cảm biến, phụ kiện tủ điện, .... Từ các thương hiệu hàng đầu trên thế giới.
www.beeteco.com @ Công ty TNHH TM KT ASTER
Số 7 Đại Lộ Độc Lập, KCN Sóng Thần 1, P. Dĩ An, Tx. Dĩ An, Bình Dương
This document discusses various methods for verifying VLSI chip designs, including functional verification through simulation, formal verification techniques like equivalence checking and model checking, and timing analysis. It describes functional verification approaches like black-box, white-box, and grey-box testing. It also notes limitations of functional verification and outlines how formal verification can be used as an alternative to verify that small non-functional design modifications do not change functionality. Specific formal verification methods like equivalence checking, model checking, and binary decision diagrams are introduced.
The document discusses control systems and their evolution. It provides an overview of analog control systems, digital control systems, centralized control systems, and distributed control systems. It then focuses on Yokogawa's CENTUM distributed control system (DCS), describing its components, configurations, and I/O modules.
Synthesis & gate-level simulation is introduced. The key topics covered include basic concepts of logic synthesis using Design Compiler, including logic level optimization, mapping, boundary optimization, and static timing analysis. Simulation of the gate-level netlist generated after synthesis is also discussed. An example lab is outlined to synthesize a simple 8-bit microprocessor and simulate the gate-level netlist.
In this course, you
● Identify and apply timing arc information from a library, such as unateness, delays, and slew
● Identify cell delays from a library and calculate output slew degradation
● Use wire-load information to calculate net delays
● Identify the properties of a clock, including period, edges, and slew, and calculate the duty cycle
● Apply setup and hold checks to diagnose design violations
● Identify timing path types to calculate slack values
● Set environmental constraints, clocks constraints, and path exceptions
● Constrain a design using SDC
● Analyze reports to identify timing problems
The document discusses hardware-in-the-loop (HIL) simulation.
(1) HIL simulation is a technique used in control system development that adds a real electronic control unit (ECU) component to a real-time simulation.
(2) HIL simulation is used because directly connecting an ECU to a real plant for testing is not always possible due to time and complexity constraints in plant fabrication and controller design.
(3) The HIL simulation procedure involves first simulating a model and PID controller software-only, then configuring the PID controller hardware-only, and finally testing the hardware PID controller on the simulated process using HIL simulation with both software and hardware.
Study the control of pneumatic cylinder (LabVIEW, Instrumentation)Mithun Chowdhury
This document describes an experiment on controlling a pneumatic cylinder using LabVIEW. The experiment uses a NI USB-6009 data acquisition card to control an Omron G3R solid state relay that regulates air flow to a 5/3-way valve controlling a pneumatic cylinder. A MTS 50 series magnetostrictive sensor measures the cylinder position. The LabVIEW block diagram and front panel show the analog output channels controlling the relay to move the cylinder between two positions. Diagrams show the components and overall experiment setup.
The document discusses static timing analysis which is used to verify that logic circuits meet timing requirements. It analyzes different types of timing paths like pad-to-pad, pad-to-setup, clock-to-pad. Static timing analysis is preferred over dynamic analysis for verifying timings in large designs due to faster run times. An example shows calculating maximum frequency of operation by analyzing all path delays in a circuit.
Here are the answers to the matching questions from the previous section:
1. A class cannot have any: I/O ports.
Classes can define interfaces and virtual interfaces, but cannot have physical I/O ports like modules can.
2. An interface bus must be: instantiated, to be useful.
An interface bus is just a declaration of related signals until it is instantiated as an object that can be connected to other objects.
3. Access uvm_config_db using: static methods set, get().
The uvm_config_db is accessed through static methods like set() and get() rather than through objects.
4. Calling method run_test(): will launch the test
This document discusses static timing analysis for combinational circuits. It provides examples of how to represent a combinational circuit as a directed acyclic graph (DAG) with vertices for input/output pins and gates and edges to show connections and delays. It describes how to find the critical path, which is the longest path between input and output, using depth-first search algorithms. The document also discusses false paths that exist physically in a design but are not functional logic paths and how designers typically specify false paths. Homework is assigned to implement an algorithm to find the longest path in a DAG using TCL/TK scripting.
The document describes how different Verilog code constructs are synthesized to hardware. It provides examples of how always blocks, variables assignments, if/else statements, case statements, mathematical operations, counters and other code are mapped to logic gates, flip-flops and other digital circuits.
In today’s world, there is an ever-increasing demand for SOC speed, performance, and features. To cater to all those needs, the industry is moving toward lower technology nodes. The current market has become more and more demanding, in turn forcing complex architectures and reduced time to market. The complex integrations and smaller design cycle emphasize the importance of floorplanning, i.e., the first step in netlist-to-GDSII design flow. Floorplanning not only captures designer’s intent, but also presents the challenges and opportunities that affect the entire design flow, from design to implementation and chip assembly.
A typical SOC can include many hard- and soft-IP macros, memories, analog blocks, and multiple power domains. Because of the increases in gate count, power domains, power modes, and special architectural requirements, most SOCs these days are hierarchical designs. The SOC interacts with the outside world through sensors, antennas, displays, and other elements, which introduce a lot of analog component in the chip. All of these limitations directly result in various challenges in floorplanning.
Floorplanning includes macro/block placement, design partitioning, pin placement, power planning, and power grid design. What make the job more important is that the decisions taken for macro/block placement, partitioning, I/O-pad placement, and power planning directly or indirectly impact the overall implementation cycle.
Lots of iterations happen to get an optimum floorplan. The designer takes care of the design parameters, such as power, area, timing, and performance during floorplanning. These estimations are repeatedly reviewed, based on the feedback of other stakeholders such as the implementation team, IP owners, and RTL designers. The outcome of floorplanning is a proper arrangement of macros/blocks, power grid, pin placement, and partitioned blocks that can be implemented in parallel.
In hierarchical designs, the quality of the floorplan is analyzed after the blocks are integrated at the top level. That can results in unnecessary iterative work, wasted resource hours, and longer cycle times, which could mean missed market opportunities. This underscores the importance of floorplanning.
In this paper, we will discuss some of the good practices, techniques, and complex cases that arise while floorplanning in an SOC.
The first rule of thumb for floorplanning is to arrange the hard macros and memories in such a manner that you end up with a core area (to be used for SOG placement) square in shape. This is always not possible, however, because of the large number of analog-IP blocks, memories, and various other requirements in design.
Equivalence checking is a portion of a larger discipline called formal verification. This technology uses mathematical modeling techniques to prove that two representations of design exhibit the same behavior. This approach should not be confused with functional verification, which uses exhaustive simulation to verify the correctness of a design.
Once a verified version of a design has been identified, equivalence checking can be used to determine if an alternate representation of the design behaves the same as the verified version. This technique does not use input vectors so it is more efficient.
Equivalence checking is useful to verify that a design’s function has not changed after an operation like synthesis, or after a functional ECO has been applied.
The document discusses floor planning, which is the first step in physical design. It involves defining the size of the chip, pre-placing hard macros, I/O pads, and defining the power grid. A good floorplan partitions the design into functional blocks, arranges the blocks on the chip, places macros and I/O pads, and decides on the power distribution. Key inputs to floorplanning include the netlist, physical and timing libraries, timing constraints, and power requirements. The document then discusses various aspects of floorplanning such as die size calculations, macro placement guidelines, and different types of physical cells.
The document provides an overview of the ASIC design and verification process. It discusses the key stages of ASIC design including specification, high-level design, micro design, RTL coding, simulation, synthesis, place and route, and post-silicon validation. It then describes the importance of verification, including why 70% of design time and costs are spent on verification. The verification process uses testbenches, directed and constrained-random testing, and functional coverage to verify the design matches specifications. Verification of more complex designs like FPGAs, SOCs is also discussed.
This document discusses the key components and features of the Universal Verification Methodology (UVM) for verifying complex systems. UVM provides a standardized framework for modular and reusable verification components to help address challenges like code duplication. It supports concepts like transactions, sequences, agents, drivers, monitors, scoreboards and environments to build verification testbenches. UVM is maintained by Accellera and its adoption helps enable reuse, clear definition of components, and configuration flexibility.
This document provides an overview of Cadence design tools and flows for front-end and back-end analog circuit design. It describes setting up the tool environment, using Virtuoso Schematic Editor for design entry, Analog Artist for netlisting and simulation, Virtuoso LE for layout, Diva for physical verification, and mixed-signal simulations. It also demonstrates an example inverter design flow through schematic creation, layout, DRC, LVS, and use of analog modeling libraries. Useful tutorials and sites for learning Cadence are also listed.
This document provides an overview of the ASIC design process, which includes the following main steps:
1. Front-end design including market research, specification, architecture, and RTL design.
2. Verification of the RTL code by verification engineers.
3. Synthesis of the RTL code into a gate-level netlist, followed by equivalence checking.
4. Physical design including placement and routing of standard cells, followed by extraction of parasitic components and timing analysis.
5. Physical verification including design rule checking and layout vs schematic checking.
This document discusses design for testability (DFT) techniques. It begins with an introduction to the history and need for DFT due to increasing chip complexity. Testability analysis methods are then covered, including topology-based techniques like SCOAP that calculate controllability and observability metrics, and simulation-based analysis. Common DFT techniques like scan cells and scan architectures are overviewed. The document concludes with a discussion of moving DFT to the register-transfer level for improved efficiency.
This document discusses the multidisciplinary product development cycle and deployment of NI-PXI real-time hardware in hardware-in-the-loop simulations. It describes the typical phases of product development including concept, design and development, and validation and verification. It also provides details about using digital prototyping, model-in-the-loop and hardware-in-the-loop simulations using NI-PXI real-time hardware to test control algorithms and identify issues before full deployment. A case study on developing effective flight control systems for an aircraft is presented as an example.
Complete Simulation of IEC 101 Server as per Protocol Standard including File transfer. Support Balanced and unbalanced modes.
Add up to 50 server node in the simulator. Every server node will work independently.
User can update the monitoring Point information and quality bits. Send all type of commands, parameter activation, file transfer.
Features
Multiple Server Simulation
In a Single Server(link) simulate Multiple Stations (Common Address)
Mapping of Control Point to monitor Information point, consider C_SC point can map to M_SP point
Balanced & Unbalanced Mode
Supports "select-before-operate" or "direct-execute" command execution modes
supports File Transfer, Directory commands
On-demand transmission (single indications, analog )
Spontaneous transmission (single indications with time tag)
Clock synchronization
* License - Perpetual * One-time payment, royalty-free * Neither license manager nor dongle required.
Support all type of Typeid ASDU, APCI, APDU, Command activation, termination.
support all Cause of transmission (COT), Parameter in control direction
Simulate Complete DNP3 RTU Outstation Server. using Serial(RS232, RS485), TCP/IP, UDP communication
Add up to 50 server node in the simulator. Every server node will work independently.
Binary Input, Double-bit Binary Input, Binary Output, Counter Input, Analog Input, Analog output, Octect String, virtual terminal String.
support CROB, analog output command with "select-before-operate" or "direct-execute" command execution modes
Industry proven, tested with all leading test tools
Features
Multiple Server Simulation
Supports Serial, TCP, UDP Communication
Level 3 Compliance
Supports unsolicited response, Octect String, Virtual Terminal Output
Supports "select-before-operate" or "direct-execute" command execution modes
Supports, frozen counter input, frozen analog input typeids,
Support File Transfer(File read, file write), Directory commands
support Device Attributes
Transparent licensing scheme - No hidden costs, No deferred payments.
High performance, robust and scalable architecture
Support Binary Output(CROB) and Analog Output Commands
Industry Proven * tested with all leading test tools
wide. Customer can install the software in many systems. There is no restriction like Hardware key (Dongle) and software key.
The document discusses control systems and their evolution. It provides an overview of analog control systems, digital control systems, centralized control systems, and distributed control systems. It then focuses on Yokogawa's CENTUM distributed control system (DCS), describing its components, configurations, and I/O modules.
Synthesis & gate-level simulation is introduced. The key topics covered include basic concepts of logic synthesis using Design Compiler, including logic level optimization, mapping, boundary optimization, and static timing analysis. Simulation of the gate-level netlist generated after synthesis is also discussed. An example lab is outlined to synthesize a simple 8-bit microprocessor and simulate the gate-level netlist.
In this course, you
● Identify and apply timing arc information from a library, such as unateness, delays, and slew
● Identify cell delays from a library and calculate output slew degradation
● Use wire-load information to calculate net delays
● Identify the properties of a clock, including period, edges, and slew, and calculate the duty cycle
● Apply setup and hold checks to diagnose design violations
● Identify timing path types to calculate slack values
● Set environmental constraints, clocks constraints, and path exceptions
● Constrain a design using SDC
● Analyze reports to identify timing problems
The document discusses hardware-in-the-loop (HIL) simulation.
(1) HIL simulation is a technique used in control system development that adds a real electronic control unit (ECU) component to a real-time simulation.
(2) HIL simulation is used because directly connecting an ECU to a real plant for testing is not always possible due to time and complexity constraints in plant fabrication and controller design.
(3) The HIL simulation procedure involves first simulating a model and PID controller software-only, then configuring the PID controller hardware-only, and finally testing the hardware PID controller on the simulated process using HIL simulation with both software and hardware.
Study the control of pneumatic cylinder (LabVIEW, Instrumentation)Mithun Chowdhury
This document describes an experiment on controlling a pneumatic cylinder using LabVIEW. The experiment uses a NI USB-6009 data acquisition card to control an Omron G3R solid state relay that regulates air flow to a 5/3-way valve controlling a pneumatic cylinder. A MTS 50 series magnetostrictive sensor measures the cylinder position. The LabVIEW block diagram and front panel show the analog output channels controlling the relay to move the cylinder between two positions. Diagrams show the components and overall experiment setup.
The document discusses static timing analysis which is used to verify that logic circuits meet timing requirements. It analyzes different types of timing paths like pad-to-pad, pad-to-setup, clock-to-pad. Static timing analysis is preferred over dynamic analysis for verifying timings in large designs due to faster run times. An example shows calculating maximum frequency of operation by analyzing all path delays in a circuit.
Here are the answers to the matching questions from the previous section:
1. A class cannot have any: I/O ports.
Classes can define interfaces and virtual interfaces, but cannot have physical I/O ports like modules can.
2. An interface bus must be: instantiated, to be useful.
An interface bus is just a declaration of related signals until it is instantiated as an object that can be connected to other objects.
3. Access uvm_config_db using: static methods set, get().
The uvm_config_db is accessed through static methods like set() and get() rather than through objects.
4. Calling method run_test(): will launch the test
This document discusses static timing analysis for combinational circuits. It provides examples of how to represent a combinational circuit as a directed acyclic graph (DAG) with vertices for input/output pins and gates and edges to show connections and delays. It describes how to find the critical path, which is the longest path between input and output, using depth-first search algorithms. The document also discusses false paths that exist physically in a design but are not functional logic paths and how designers typically specify false paths. Homework is assigned to implement an algorithm to find the longest path in a DAG using TCL/TK scripting.
The document describes how different Verilog code constructs are synthesized to hardware. It provides examples of how always blocks, variables assignments, if/else statements, case statements, mathematical operations, counters and other code are mapped to logic gates, flip-flops and other digital circuits.
In today’s world, there is an ever-increasing demand for SOC speed, performance, and features. To cater to all those needs, the industry is moving toward lower technology nodes. The current market has become more and more demanding, in turn forcing complex architectures and reduced time to market. The complex integrations and smaller design cycle emphasize the importance of floorplanning, i.e., the first step in netlist-to-GDSII design flow. Floorplanning not only captures designer’s intent, but also presents the challenges and opportunities that affect the entire design flow, from design to implementation and chip assembly.
A typical SOC can include many hard- and soft-IP macros, memories, analog blocks, and multiple power domains. Because of the increases in gate count, power domains, power modes, and special architectural requirements, most SOCs these days are hierarchical designs. The SOC interacts with the outside world through sensors, antennas, displays, and other elements, which introduce a lot of analog component in the chip. All of these limitations directly result in various challenges in floorplanning.
Floorplanning includes macro/block placement, design partitioning, pin placement, power planning, and power grid design. What make the job more important is that the decisions taken for macro/block placement, partitioning, I/O-pad placement, and power planning directly or indirectly impact the overall implementation cycle.
Lots of iterations happen to get an optimum floorplan. The designer takes care of the design parameters, such as power, area, timing, and performance during floorplanning. These estimations are repeatedly reviewed, based on the feedback of other stakeholders such as the implementation team, IP owners, and RTL designers. The outcome of floorplanning is a proper arrangement of macros/blocks, power grid, pin placement, and partitioned blocks that can be implemented in parallel.
In hierarchical designs, the quality of the floorplan is analyzed after the blocks are integrated at the top level. That can results in unnecessary iterative work, wasted resource hours, and longer cycle times, which could mean missed market opportunities. This underscores the importance of floorplanning.
In this paper, we will discuss some of the good practices, techniques, and complex cases that arise while floorplanning in an SOC.
The first rule of thumb for floorplanning is to arrange the hard macros and memories in such a manner that you end up with a core area (to be used for SOG placement) square in shape. This is always not possible, however, because of the large number of analog-IP blocks, memories, and various other requirements in design.
Equivalence checking is a portion of a larger discipline called formal verification. This technology uses mathematical modeling techniques to prove that two representations of design exhibit the same behavior. This approach should not be confused with functional verification, which uses exhaustive simulation to verify the correctness of a design.
Once a verified version of a design has been identified, equivalence checking can be used to determine if an alternate representation of the design behaves the same as the verified version. This technique does not use input vectors so it is more efficient.
Equivalence checking is useful to verify that a design’s function has not changed after an operation like synthesis, or after a functional ECO has been applied.
The document discusses floor planning, which is the first step in physical design. It involves defining the size of the chip, pre-placing hard macros, I/O pads, and defining the power grid. A good floorplan partitions the design into functional blocks, arranges the blocks on the chip, places macros and I/O pads, and decides on the power distribution. Key inputs to floorplanning include the netlist, physical and timing libraries, timing constraints, and power requirements. The document then discusses various aspects of floorplanning such as die size calculations, macro placement guidelines, and different types of physical cells.
The document provides an overview of the ASIC design and verification process. It discusses the key stages of ASIC design including specification, high-level design, micro design, RTL coding, simulation, synthesis, place and route, and post-silicon validation. It then describes the importance of verification, including why 70% of design time and costs are spent on verification. The verification process uses testbenches, directed and constrained-random testing, and functional coverage to verify the design matches specifications. Verification of more complex designs like FPGAs, SOCs is also discussed.
This document discusses the key components and features of the Universal Verification Methodology (UVM) for verifying complex systems. UVM provides a standardized framework for modular and reusable verification components to help address challenges like code duplication. It supports concepts like transactions, sequences, agents, drivers, monitors, scoreboards and environments to build verification testbenches. UVM is maintained by Accellera and its adoption helps enable reuse, clear definition of components, and configuration flexibility.
This document provides an overview of Cadence design tools and flows for front-end and back-end analog circuit design. It describes setting up the tool environment, using Virtuoso Schematic Editor for design entry, Analog Artist for netlisting and simulation, Virtuoso LE for layout, Diva for physical verification, and mixed-signal simulations. It also demonstrates an example inverter design flow through schematic creation, layout, DRC, LVS, and use of analog modeling libraries. Useful tutorials and sites for learning Cadence are also listed.
This document provides an overview of the ASIC design process, which includes the following main steps:
1. Front-end design including market research, specification, architecture, and RTL design.
2. Verification of the RTL code by verification engineers.
3. Synthesis of the RTL code into a gate-level netlist, followed by equivalence checking.
4. Physical design including placement and routing of standard cells, followed by extraction of parasitic components and timing analysis.
5. Physical verification including design rule checking and layout vs schematic checking.
This document discusses design for testability (DFT) techniques. It begins with an introduction to the history and need for DFT due to increasing chip complexity. Testability analysis methods are then covered, including topology-based techniques like SCOAP that calculate controllability and observability metrics, and simulation-based analysis. Common DFT techniques like scan cells and scan architectures are overviewed. The document concludes with a discussion of moving DFT to the register-transfer level for improved efficiency.
This document discusses the multidisciplinary product development cycle and deployment of NI-PXI real-time hardware in hardware-in-the-loop simulations. It describes the typical phases of product development including concept, design and development, and validation and verification. It also provides details about using digital prototyping, model-in-the-loop and hardware-in-the-loop simulations using NI-PXI real-time hardware to test control algorithms and identify issues before full deployment. A case study on developing effective flight control systems for an aircraft is presented as an example.
Complete Simulation of IEC 101 Server as per Protocol Standard including File transfer. Support Balanced and unbalanced modes.
Add up to 50 server node in the simulator. Every server node will work independently.
User can update the monitoring Point information and quality bits. Send all type of commands, parameter activation, file transfer.
Features
Multiple Server Simulation
In a Single Server(link) simulate Multiple Stations (Common Address)
Mapping of Control Point to monitor Information point, consider C_SC point can map to M_SP point
Balanced & Unbalanced Mode
Supports "select-before-operate" or "direct-execute" command execution modes
supports File Transfer, Directory commands
On-demand transmission (single indications, analog )
Spontaneous transmission (single indications with time tag)
Clock synchronization
* License - Perpetual * One-time payment, royalty-free * Neither license manager nor dongle required.
Support all type of Typeid ASDU, APCI, APDU, Command activation, termination.
support all Cause of transmission (COT), Parameter in control direction
Simulate Complete DNP3 RTU Outstation Server. using Serial(RS232, RS485), TCP/IP, UDP communication
Add up to 50 server node in the simulator. Every server node will work independently.
Binary Input, Double-bit Binary Input, Binary Output, Counter Input, Analog Input, Analog output, Octect String, virtual terminal String.
support CROB, analog output command with "select-before-operate" or "direct-execute" command execution modes
Industry proven, tested with all leading test tools
Features
Multiple Server Simulation
Supports Serial, TCP, UDP Communication
Level 3 Compliance
Supports unsolicited response, Octect String, Virtual Terminal Output
Supports "select-before-operate" or "direct-execute" command execution modes
Supports, frozen counter input, frozen analog input typeids,
Support File Transfer(File read, file write), Directory commands
support Device Attributes
Transparent licensing scheme - No hidden costs, No deferred payments.
High performance, robust and scalable architecture
Support Binary Output(CROB) and Analog Output Commands
Industry Proven * tested with all leading test tools
wide. Customer can install the software in many systems. There is no restriction like Hardware key (Dongle) and software key.
The document discusses design for test (DFT) techniques. It explains that DFT aims to improve the testability of chip designs by adding mechanisms to control and observe internal nodes for manufacturing testing. This allows testing of each block or component on the chip to identify defective parts. Specifically, it discusses using scan chains to test combinational logic, and techniques like MBIST and boundary scan for testing memories and I/O, respectively. The goal of DFT is to effectively test designs at the component level to improve quality and yield.
CIS*3110 Winter 2016
CIS*3110 (Operating Systems)
Assignment 2: CPU Simulation
Due Date: Sunday, March 6, 2016 at 23:59.
Requirements and Specifications
Objective
The goal of this assignment is to develop a CPU scheduling algorithm that will complete the
execution of a group of multi-threaded processes in an OS that understands threads (kernel
threads). Since a real implementation of your scheduling algorithm is not feasible, you will
implement a simulation of your CPU scheduling algorithm. Each process will have 1-50 threads;
each of the threads has its own CPU and I/O requirements. The simulated scheduling policy is on
the thread level. While your simulation will have access to all the details of the processes that need
to execute, your CPU scheduling algorithm CANNOT take advantage of future knowledge.
Specification
Given a set of processes to execute with CPU and I/O requirements, your CPU simulator will
simulate the execution of the threads based on your developed CPU scheduling policies (FCFS
and RR). Your simulation will collect the following statistics:
• the total time required to execute all the threads in all the processes
• the CPU utilization (NOT the CPU efficiency)
• the average turnaround time for all the processes
• the service time (or CPU time), I/O time and turnaround time for each individual thread
Your simulation structure should be a next event simulation. The next event approach to simulation
is the most common simulation model. At any given time, the simulation is in a single state. The
simulation state can only change at event times, where an event is defined as an occurrence that
may change the state of the system.
Events in this CPU simulation are the following:
• thread arrival
• the transition of a thread state (e.g. when an interrupt occurs due to a time slice, the thread
moves from running state to ready state).
Each event occurs at a specified time. Since the simulation state only changes at an event, the
clock can be advanced to the next most recently scheduled event (the meaning of next event
simulation model).
CIS*3110 Winter 2016
Events are scheduled via an event queue. The event queue is a sorted queue which contains
"future" events; the queue is sorted by the time of these "future" events. The event queue is
initialized to contain the arrival of all threads. The main loop of the simulation consists of processing
the next event, perhaps adding more future events in the queue as a result, advancing the clock,
and so on until all threads have terminated.
Simulation Execution
Your simulation program will be invoked as:
simcpu [-d] [-v] [-r quantum] < input_file
where
• -d stands for detailed information
• -v stands for verbose mode
• –r indicates Round Robin scheduling with the given quantum (an integer).
You can assume only these flags will be used with your program, and that they will appear in the
order listed. The output for the de ...
This document is a student lab manual for configuring Huawei routers. It provides instructions for 6 experiments: 1) Introduction to Huawei routers, which demonstrates configuring IP addresses on router interfaces and PCs to enable communication; 2) PAP authentication protocol, which configures PAP authentication between two routers; 3) Frame Relay Protocol; 4) CHAP authentication protocol; 5) X.25; and 6) Frame Relay Sub-interfaces. The introduction experiment provides a baseline for the other experiments by demonstrating basic router and IP address configuration. The PAP, CHAP, and other experiments build on this by adding different WAN protocols and authentication methods.
This document provides a test specification for the Simple Rail Road Command Protocol (SRCP) version 0.8.3. It outlines a test plan with the following key elements:
1. The test environment includes an SRCP daemon to emulate the SRCP server and digital plant, a Linux server to run the daemon, and client programs on Linux or Windows to send commands.
2. The test plan has six phases - test planning, test case generation, test case execution, analysis of test results, bug analysis, and documentation.
3. Test cases will be generated for three main areas - hand shake, commands, and info - based on analyzing the SRCP specification and source code to evaluate requirements compliance and
This document provides a reference manual for troubleshooting network connectivity issues in Lab 1. It contains contents and explanations for various troubleshooting commands and approaches, including extended traceroute, the terminal monitor command, basic troubleshooting methodology, resolving or escalating issues, verification tools like ping and traceroute, duplex operation and mismatch troubleshooting, and addressing issues on IOS devices. The manual is intended solely for use in DCN330.
- The document provides lab exercises for CCNA/ICND2 exam preparation over 7 days, with topology diagrams and tasks to complete for each day including implementing routing protocols and troubleshooting scenarios.
- Day 1 requires implementing the given topology within 20 minutes, configuring routing to allow ping from a test PC to router R2.
- Additional tasks and topologies are provided for subsequent days covering topics like VLANs, OSPF, EIGRP, IPv6 routing, and troubleshooting L2 issues.
- Configuration files are included for some devices as examples.
Oracle real application clusters system tests with demoAjith Narayanan
This document provides details on testing Oracle Real Application Clusters functionality through a series of tests. It begins with an introduction and agenda, then describes 10 tests to validate high availability features including planned and unplanned node/instance failures, network failures, and service failover. Expected results and measures of success are outlined for each test. Sample scripts are also provided.
The document describes the implementation of the Ad-hoc On-Demand Distance Vector (AODV) routing protocol in the Network Simulator 2 (NS-2). It discusses the file dependencies of AODV, the general flow of AODV operation through an example, the trace format used in NS-2, and some of the main implementation files and functions in AODV including timers, routing table management, and packet handling functions.
Cognitive Radio Network simulation is available from v7 of NetSim. Cognitive Radio Networks
allows you to connect, if required, with Ethernet, Wireless LAN, IP Routing, TCP / UDP and
allows users to log packet and event traces.
Simulate Complete DNP3 Client / Master. using Serial, TCP/IP, UDP communication
Add up to 50 Client node in the simulator. Every Client node will work independently.
Binary Input, Double-bit Binary Input, Binary Output, Counter Input, Analog Input, Analog output, Octect String, virtual terminal String.
support CROB, analog output command with "select-before-operate" or "direct-execute" command execution modes
Industry proven, tested with all leading test tools
Features
Multiple Master / Client Simulation
Supports Serial, TCP, UDP Communication
Level 3 Compliance
Supports "Select-Before-Operate" SBO or "Direct-execute" command execution modes
Support File Transfer(File read, file write), Directory commands
Supports, frozen counter input, frozen analog input Groups
support Device Attributes
Supports unsolicited response, Octect String, Virtual Terminal Output
Transparent licensing scheme - No hidden costs, No deferred payments.
High performance, robust and scalable architecture
Support Binary Output(CROB) and Analog Output Commands
Industry Proven * tested with all leading test tools
The Customer can use the software company wide. Customer can install the software in many systems. There is no restriction like Hardware key (Dongle) and software key.
SREcon 2016 Performance Checklists for SREsBrendan Gregg
Talk from SREcon2016 by Brendan Gregg. Video: https://www.usenix.org/conference/srecon16/program/presentation/gregg . "There's limited time for performance analysis in the emergency room. When there is a performance-related site outage, the SRE team must analyze and solve complex performance issues as quickly as possible, and under pressure. Many performance tools and techniques are designed for a different environment: an engineer analyzing their system over the course of hours or days, and given time to try dozens of tools: profilers, tracers, monitoring tools, benchmarks, as well as different tunings and configurations. But when Netflix is down, minutes matter, and there's little time for such traditional systems analysis. As with aviation emergencies, short checklists and quick procedures can be applied by the on-call SRE staff to help solve performance issues as quickly as possible.
In this talk, I'll cover a checklist for Linux performance analysis in 60 seconds, as well as other methodology-derived checklists and procedures for cloud computing, with examples of performance issues for context. Whether you are solving crises in the SRE war room, or just have limited time for performance engineering, these checklists and approaches should help you find some quick performance wins. Safe flying."
Reliability analysis of wireless automotive applications with transceiver red...rchulyada
This document summarizes a master's thesis presentation on reliability analysis of wireless automotive applications with transceiver redundancy. The presentation covers:
1) Problems with increasing sensors/integration in cars and the solution of using wireless transmission
2) Challenges of wireless communication in vehicles, including interferences and lack of dedicated protocols
3) A safety analysis of an existing wireless system in an electric car, including FMEA, MTTF calculation, and reliability block diagram
4) An approach and analysis to design a reliable redundant system in a car using parallel transceivers and channels analyzed through reliability block diagram and calculations.
1) The document describes the design and implementation of an IP (Inter-Integrated Circuit) 2PC protocol. 2) IP2PC protocol allows multiple devices to communicate over a serial data bus without data or address loss and enables faster devices to communicate with slower ones. 3) The design of an IP2PC protocol controller in Verilog is presented along with its simulation on an FPGA platform to allow communication between multiple masters and slaves.
Real-Time Inverted Search NYC ASLUG Oct 2014Bryan Bende
Building real-time notification systems is often limited to basic filtering and pattern matching against incoming records. Allowing users to query incoming documents using Solr’s full range of capabilities is much more powerful. In our environment we needed a way to allow for tens of thousands of such query subscriptions, meaning we needed to find a way to distribute the query processing in the cloud. By creating in-memory Lucene indices from our Solr configuration, we were able to parallelize our queries across our cluster. To achieve this distribution, we wrapped the processing in a Storm topology to provide a flexible way to scale and manage our infrastructure. This presentation will describe our experiences creating this distributed, real-time inverted search notification framework.
Embedded Recipes 2018 - Finding sources of Latency In your system - Steven Ro...Anne Nicolas
Having just an RTOS is not enough for a real-time system. The hardware must be deterministic as well as the applications that run on the system. When you are missing deadlines, the first thing that must be done is to find what is the source of the latency that caused the issue. It could be the hardware, the operating system or the application, or even a combination of the above. This talk will discuss how to determine where the latency is using tools that come with the Linux Kernel, and will explain a few cases that caused issues.
Master Serial Killer - DEF CON 22 - ICS VillageChris Sistrunk
Updated slides on Master Serial Killer from Adam Crain and Chris Sistrunk's research on ICS Protocol Vulnerabilities called Project Robus, the Aegis Fuzzer, and mitigations of these vulnerabilities.
1. The document describes the Bulk Collector & Bulk Partioner modules which process call data from Emprix in 5 minute intervals and writes it to folders.
2. The Partitioner module picks up the data and sends it to the Collector which processes it through 5 steps to generate clean feed files.
3. Trunk IDs are then associated with SIP calls to calculate call metrics by country, trunk, and direction.
The document is a report on a Wireshark lab analyzing TCP and UDP network traffic.
For the TCP analysis:
- The client IP address is 192.168.1.102 and port 1161, the server is 128.119.245.12 on port 80.
- The SYN segment has a sequence number of 0 and identifies the segment with the SYN flag.
- The SYNACK from the server acknowledges 1 and has a sequence number of 0.
- The HTTP POST has a sequence number of 1.
For the UDP analysis:
- The UDP header has 4 fields - Source Port, Destination Port, Length, and Checksum.
- The checksum covers the pseudo header
Similar to IEC 60870-5 101 Protocol client Master simulator User manual (20)
artificial intelligence and data science contents.pptxGauravCar
What is artificial intelligence? Artificial intelligence is the ability of a computer or computer-controlled robot to perform tasks that are commonly associated with the intellectual processes characteristic of humans, such as the ability to reason.
› ...
Artificial intelligence (AI) | Definitio
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressionsVictor Morales
K8sGPT is a tool that analyzes and diagnoses Kubernetes clusters. This presentation was used to share the requirements and dependencies to deploy K8sGPT in a local environment.
Optimizing Gradle Builds - Gradle DPE Tour Berlin 2024Sinan KOZAK
Sinan from the Delivery Hero mobile infrastructure engineering team shares a deep dive into performance acceleration with Gradle build cache optimizations. Sinan shares their journey into solving complex build-cache problems that affect Gradle builds. By understanding the challenges and solutions found in our journey, we aim to demonstrate the possibilities for faster builds. The case study reveals how overlapping outputs and cache misconfigurations led to significant increases in build times, especially as the project scaled up with numerous modules using Paparazzi tests. The journey from diagnosing to defeating cache issues offers invaluable lessons on maintaining cache integrity without sacrificing functionality.
Batteries -Introduction – Types of Batteries – discharging and charging of battery - characteristics of battery –battery rating- various tests on battery- – Primary battery: silver button cell- Secondary battery :Ni-Cd battery-modern battery: lithium ion battery-maintenance of batteries-choices of batteries for electric vehicle applications.
Fuel Cells: Introduction- importance and classification of fuel cells - description, principle, components, applications of fuel cells: H2-O2 fuel cell, alkaline fuel cell, molten carbonate fuel cell and direct methanol fuel cells.
International Conference on NLP, Artificial Intelligence, Machine Learning an...gerogepatton
International Conference on NLP, Artificial Intelligence, Machine Learning and Applications (NLAIM 2024) offers a premier global platform for exchanging insights and findings in the theory, methodology, and applications of NLP, Artificial Intelligence, Machine Learning, and their applications. The conference seeks substantial contributions across all key domains of NLP, Artificial Intelligence, Machine Learning, and their practical applications, aiming to foster both theoretical advancements and real-world implementations. With a focus on facilitating collaboration between researchers and practitioners from academia and industry, the conference serves as a nexus for sharing the latest developments in the field.
Discover the latest insights on Data Driven Maintenance with our comprehensive webinar presentation. Learn about traditional maintenance challenges, the right approach to utilizing data, and the benefits of adopting a Data Driven Maintenance strategy. Explore real-world examples, industry best practices, and innovative solutions like FMECA and the D3M model. This presentation, led by expert Jules Oudmans, is essential for asset owners looking to optimize their maintenance processes and leverage digital technologies for improved efficiency and performance. Download now to stay ahead in the evolving maintenance landscape.
2. IEC 60870-5-101 Protocol Client Simulator User Manual 2
Table of Contents
1. Introduction ..............................................................................................................................................................2
2. Add and Delete Client Node......................................................................................................................................3
3. Client Configuration..................................................................................................................................................5
4. Client Data Configuration..........................................................................................................................................9
IEC 60870-5 Group & Typeid to choose........................................................................................................................9
5. Station Commands..................................................................................................................................................13
6. Point Command ......................................................................................................................................................14
7. Traffic window ........................................................................................................................................................15
8. Log Window ............................................................................................................................................................15
Download Free Demo Evaluation Kit - IEC 101
Development Bundle
New updated Version of IEC 101 Simulator & SDK (Software Development Kit) is available now.
In the Development Bundle, We included IEC 104 Server & Client Simulator, Windows and Linux SDK,
C# projects, Doxygen documentation and Raspberry Pi, BeagleBone Demo library.
1. Introduction
3. IEC 60870-5-101 Protocol Client Simulator User Manual 3
IEC 60870-5-101 (IEC101) is a standard for power system monitoring, control & associated communications for
telecontrol, tele protection, and associated telecommunications for electric power systems. This is completely
compatible with IEC 60870-5-1 to IEC 60870-5-5 standards and uses standard asynchronous serial tele-control
channel interface between DTE and DCE. The standard is suitable for multiple configurations like point-to-point, star,
multidrop etc.
Features
• Supports unbalanced (only master initiated message) & balanced (can be master/slave initiated) modes of
data transfer.
• Link address and ASDU (Application Service Data Unit) addresses are provided for classifying the end station
and different segments under the same.
• Data is classified into different information objects and each information object is provided with a specific
address.
• Facility to classify the data into high priority (class-1) and low priority (class-2) and transfer the same using
separate mechanisms.
• Possibility of classifying the data into different groups (1-16) to get the data according to the group by
issuing specific group interrogation commands from the master & obtaining data under all the groups by
issuing a general interrogation.
• Cyclic & Spontaneous data updating schemes are provided.
• Facility for time synchronization
• Schemes for transfer of files- Example: IED's will store disturbance recorder file in the memory, when
electrical disturbance is occurred in the field. This file can be retrieved through IEC103 protocol for fault
analysis
FreyrSCADA IEC 60870-5-101 Client Simulator was originally developed to test the IEC 60870-5-101 stack.
We developed the stack to run multiple hardware platform (windows, Linux, RTLinux, qnx..). So we had to test
multiple platform. At that time, our engineers, developed the test simulation application.
We tested this simulator with multiple test software available in the market.
The interoperability list focused only for our Stack. If you have any specific requirement to implement new Type id
ASDU, Please contact to us.
Our support team has young, dynamic and professional team of engineers. And they will provide the quick and
accurate solution as per customer requirement.
support@freyrscada.com
Thanks
Management- FreyrSCADA Embedded Solution
2. Add and Delete Client Node
4. IEC 60870-5-101 Protocol Client Simulator User Manual 4
We can add up to 50 Client node in the simulator. Every Client node will work independently.
And also we can delete the Client.
Simulator window shows the status & connected Serial com Port Number.
5. IEC 60870-5-101 Protocol Client Simulator User Manual 5
3. Client Configuration
Client Protocol Configuration window shows the actual protocol settings.
Configuration Parameters as follows:
1. Data Link Mode - Data link transmission - Unbalanced mode - 0, Balanced mode -1
2. Balanced Mode Test Connection Signal Interval - Data link transmission - Unbalanced mode - 0,
Balanced mode -1
3. Enable UTC - Enable UTC time / local time for update the monitoring information & initial
database time initialization
4. Serial Port Number - Serial COM port number
5. Serial Bit Rate(Baud) - Serial Bit/Baud Rate
6. Word Length - Serial Word Length
7. Stop Bits - Serial Stop Bits
8. Serial Parity - Serial Parity
9. Flow Control - Flow Control
10. Inter Message Delay - Time between sending and receiving of message only applies after
transmitting the message
11. Transmit PreDelay - Transmit Delay before send
6. IEC 60870-5-101 Protocol Client Simulator User Manual 6
12. Transmit PostDelay - Delay after send
13. Transmit Inter Character Delay - Delay between characters during send
14. Transmit Character Timeout - Timeout if the character is not being sent
15. Transmit Character Retries - Number of retries to send
16. Transmit Message Timeout - Message Timeout if entire message is not sent
17. Transmit Message Retries - Transmit - Message Retries to retry the entire message
18. Receive PreDelay - Delay before receive
19. Receive PostDelay - Delay after receive
20. Receive Inter Character Delay - Delay between characters during receive
21. Receive Character Timeout - Timeout if the character is not being received
22. Receive Character Retries - Number of retries to receive a character
23. Receive Message Timeout - Message Timeout if entire message is not received
24. Receive Message Retries - Receive - Message Retries to retry the entire message
25. Link Address Size - Data link address size
26. Data Link Address - Data link address
27. COT Size - Cause of transmission size
28. IOA Size - Information object address size
29. CA Size - Common Address Size , one octect, two octect
30. Total Number of Stations(Common Address) - Total number of stations - in a single physical
device/ server, we can run many stations - number of stations in our server ,according to common
address (1-5)
31. Station Address - 1 (Common Address 1) - CA 0 station address 1- Common Address 1 , 1-65534 ,
65535 = global address (only master can use this)
32. Station Address - 2 (Common Address 2) - CA 1 station address 2- Common Address 2 , 1-65534 ,
65535 = global address (only master can use this)
33. Station Address - 3 (Common Address 3) - CA 2 station address 3- Common Address 3 , 1-65534 ,
65535 = global address (only master can use this)
34. Station Address - 4 (Common Address 4) - CA 3 station address 4- Common Address 4 , 1-65534 ,
65535 = global address (only master can use this)
35. Station Address - 5 (Common Address 5) - CA 4 station address 5- Common Address 5 , 1-65534 ,
65535 = global address (only master can use this)
36. Originator Address - if cot size is 2 octect, we need to set originator address, default 0
37. Link Layer Timeout - Link Layer timeout in milliseconds
38. Poll Interval - poll interval in milliseconds
7. IEC 60870-5-101 Protocol Client Simulator User Manual 7
39. General Interrogation Interval - In Sec if 0 , General Interrogation will not send in particular
interval, else in particular seconds GI will send to server
40. Group 1 Interrogation Interval - in sec if 0 , group 1 interrogation will not send in particular
interval, else in particular seconds group 1 interrogation will send to server
41. Group 2 Interrogation Interval - in sec if 0 , group 2 interrogation will not send in particular
interval, else in particular seconds group 2 interrogation will send to server
42. Group 3 Interrogation Interval - in sec if 0 , group 3 interrogation will not send in particular
interval, else in particular seconds group 3 interrogation will send to server
43. Group 4 Interrogation Interval - in sec if 0 , group 4 interrogation will not send in particular
interval, else in particular seconds group 4 interrogation will send to server
44. Group 5 Interrogation Interval - in sec if 0 , group 5 interrogation will not send in particular
interval, else in particular seconds group 5 interrogation will send to server
45. Group 6 Interrogation Interval - in sec if 0 , group 6 interrogation will not send in particular
interval, else in particular seconds group 6 interrogation will send to server
46. Group 7 Interrogation Interval - in sec if 0 , group 7 interrogation will not send in particular
interval, else in particular seconds group 7 interrogation will send to server
47. Group 8 Interrogation Interval - in sec if 0 , group 8 interrogation will not send in particular
interval, else in particular seconds group 8 interrogation will send to server
48. Group 9 Interrogation Interval - in sec if 0 , group 9 interrogation will not send in particular
interval, else in particular seconds group 9 interrogation will send to server
49. Group 10 Interrogation Interval - in sec if 0 , group 10 interrogation will not send in particular
interval, else in particular seconds group 10 interrogation will send to server
50. Group 11 Interrogation Interval - in sec if 0 , group 11 interrogation will not send in particular
interval, else in particular seconds group 11 interrogation will send to server
51. Group 12 Interrogation Interval - in sec if 0 , group 12 interrogation will not send in particular
interval, else in particular seconds group 12 interrogation will send to server
52. Group 13 Interrogation Interval - in sec if 0 , group 13 interrogation will not send in particular
interval, else in particular seconds group 13 interrogation will send to server
53. Group 14 Interrogation Interval - in sec if 0 , group 14 interrogation will not send in particular
interval, else in particular seconds group 14 interrogation will send to server
54. Group 15 Interrogation Interval - in sec if 0 , group 15 interrogation will not send in particular
interval, else in particular seconds group 15 interrogation will send to server
55. Group 16 Interrogation Interval - in sec if 0 , group 16 interrogation will not send in particular
interval, else in particular seconds group 16 interrogation will send to server
56. General Counter Interrogation Interval - In Sec if 0 , General Counter Interrogation will not send
in particular interval, else in particular seconds GCI will send to server
57. Group 1 Counter Interrogation Interval - in sec if 0 , group 1 Counter interrogation will not send in
particular interval, else in particular seconds group 1 counter interrogation will send to server
8. IEC 60870-5-101 Protocol Client Simulator User Manual 8
58. Group 2 Counter Interrogation Interval - in sec if 0 , group 2 Counter interrogation will not send in
particular interval, else in particular seconds group 2 counter interrogation will send to server
59. Group 3 Counter Interrogation Interval - in sec if 0 , group 3 Counter interrogation will not send in
particular interval, else in particular seconds group 3 counter interrogation will send to server
60. Group 4 Counter Interrogation Interval - in sec if 0 , group 4 Counter interrogation will not send in
particular interval, else in particular seconds group 4 counter interrogation will send to server
61. Clock Sync Period - in sec if 0 , clock synchronisation will not send in particular interval, else in
particular seconds clock synchronization will send to server
62. Command Time out - Command Timeout (command ack timeout) in Mille Second
63. Server Generate ACTTERM response Signal - if server Generate ACTTERM in command respond
64. File Transfer Enable - Enable File Transmission
65. File Transfer Directory Path - File transmission folder path, File Transfer Directory Path
66. File Transfer Timeout - File transmission timeout
67. Update Callback Check Timestamp - if true, even the timestamp change will cause the update
callback, else only the data & quality field change will cause the update callback
9. IEC 60870-5-101 Protocol Client Simulator User Manual 9
4. Client Data Configuration
Client Data Configuration window shows the point list configuration.
IEC 60870-5 Group & Typeid to choose
1) Single Point - Single-point information
M_SP_NA_1 = 1
M_SP_TA_1 = 2
M_SP_TB_1 = 30
2) Double Point - Double-point information
M_DP_NA_1 = 3
M_DP_TA_1 = 4
M_DP_TB_1 = 31
3) Step Position - Step position information
M_ST_NA_1 = 5
M_ST_TA_1 = 6
M_ST_TB_1 = 32
4) Bitstring - Bit string of 32 bit
M_BO_NA_1 = 7
10. IEC 60870-5-101 Protocol Client Simulator User Manual 10
M_BO_TA_1 = 8
M_BO_TB_1 = 33
5) Measured Normalized - Measured normalized value
M_ME_NA_1 = 9
M_ME_TA_1 = 10
M_ME_TD_1 = 34
6) Measured Normalized Without Quality - Measured normalized value without quality descriptor
M_ME_ND_1 = 21
7) Measured Scaled - Measured scaled value
M_ME_NB_1 = 11
M_ME_TB_1 = 12
M_ME_TE_1 = 35
8) Measured Short Float - Measured value, normalized value
M_ME_NC_1 = 13
M_ME_TC_1 = 14
M_ME_TF_1 = 36
9) Integrated Totals - Integrated totals
M_IT_NA_1 = 15
M_IT_TA_1 = 16
M_IT_TB_1 = 37
10) Event of Protection Equipment - Event of protection equipment with time tag CP56Time2a
M_EP_TD_1 = 38, Event of protection equipment with time tag CP56Time2a
11) Packed Start Events of Protection Equipment - Packed start events of protection equipment with time tag
CP56Time2a
M_EP_TE_1 = 39, Packed start events of protection equipment with time tag CP56Time2a
12) Packed Output Circuit Information of Protection Equipment - Packed output circuit information of
protection equipment with time tag CP56Time2a
M_EP_TF_1 = 40, Packed output circuit information of protection equipment with time tag CP56Time2a
11. IEC 60870-5-101 Protocol Client Simulator User Manual 11
13) Single Command - Single command
C_SC_NA_1 = 45
C_SC_TA_1 = 58
14) Double Command - Double command
C_DC_NA_1 = 46
C_DC_TA_1 = 59
15) Regulating Step Command - Regulating step command
C_RC_NA_1 = 47
C_RC_TA_1 = 60
16) Set Point command - Normalized Value - Set point command, normalized value
C_SE_NA_1 = 48
C_SE_TA_1 = 61
17) Set Point command - Scaled Value - Set point command, scaled value
C_SE_NB_1 = 49
C_SE_TB_1 = 62
18) Set Point command - Float Value - Set point command, short floating point value
C_SE_NC_1 = 50
C_SE_TC_1 = 63
19) Bitstring of 32 bit command - Bitstring of 32 bit command
C_BO_NA_1 = 51
C_BO_TA_1 = 64
20) Parameter - Parameter
P_ME_NA_1 = 110
P_ME_NB_1 = 111
P_ME_NC_1 = 112
12. IEC 60870-5-101 Protocol Client Simulator User Manual 12
The selection of following parameters based on the typeid selection.
Consider for the following items
Monitoring
information
Control / Command
Point
Parameter Value
IEC 60870-5 Group to
Choose
Single Point Single Command Parameter
Event Report Type Id M_SP_NA_1 = 1 C_SC_NA_1 = 45 P_ME_NA_1 = 110
Starting IOA 10 100 2000
Range 5 5 5
IEC870 COT Cause INROGEN = 20 NOTUSED INROGEN = 20
Control Model
Configuration
status only direct operate status only
SBO TimeOut 0 0 0
Kind of Parameter - KPA PARAMETER_NONE PARAMETER_NONE PARAMETER_THRESHOLDVALUE
Common Address 1 1 1
13. IEC 60870-5-101 Protocol Client Simulator User Manual 13
5. Station Commands
In the Data object window, plain space, just right click , the station command window will open,
All the station commands can support broadcast address or individual station address,
The command window will show the result also, the send command success or fail.
14. IEC 60870-5-101 Protocol Client Simulator User Manual 14
6. Point Command
The individual command has point command.
Just right click the command point in the data object window,
15. IEC 60870-5-101 Protocol Client Simulator User Manual 15
7. Traffic window
In this we can monitor the traffic of iec104 communication.
In this we can save the traffic, and clear the
traffic
8. Log Window
Log window for internal reference
In the log, we can monitor the command exchange between server & master, and there is an option to save the log
& clear log.
For more information, just drop a mail to support@freyrscada.com