SlideShare a Scribd company logo
GNANESHWAR JOGU.
Mobile : +91-9738411835. #39,13th Main, Sector-4,
Email :gnaneshwarjs123@gmail.com HSR Layout,
Bangalore.
CAREER OBJECTIVE:
Seeking a good career where my technical knowledge and analytical skills will be
utilized for the growth of the organization in addition to providing me a good learning
opportunity.
PROFESSIONAL SUMMARY:
 Good understanding of ASIC verification flow.
 Good programming skills in System Verilog and Verilog Hardware
Description Language.
 Good understanding and programming skills using Verification methodologies
UVM.
 Hands on experience with EDA tools (Questasim,Modelsim).
 Good Understanding of HVL concepts. Able to code test scenario using existing
given test plan. Knowledge of HVL test bench components, debugging HVL
environment.
 Understanding of functional coverage metric and identification of additional
scenarios.
 Good programming medium complex HVL test bench modules like monitors,
checker, BFMs etc., from the given verification plan and functional coverage
modules based on coverage plan.
 Experience of developing the verification environment using System Verilog and
UVM.
WORK EXPERIENCE:
 Working as lab co-ordinator in “Expert HDL training & consultancy services”,
Bangalore from March15th2014 – till now.
TECHNICAL SKILLS:
Category Tools/Languages
HDL programming Verilog.
HVL Programming System Verilog.
Methodologies UVM.
EDA Tools Modelsim & Questasim, VCS.
Scripting Languages Basics of Perl.
Operating system Linux, Windows.
Academic Projects:
UG project: “AN ADAPTIVE HUFFMAN DECODING ALGORITHM FOR
MP3 DECODER”
PROJECTS During training:
1.Verification of “UART” protocol using “UVM-SV”
Tools Used : Questasim.
Language Used: System Verilog.
Role : Verification Engineer
Description:
UART is a popular serial asynchronous communication to connect the processor and
a peripheral. It essentially consists of transmitter and receiver and interrupt controller.
Transmitter generates the Uart frame and sends the serial data with baud rate of
maximum 3Mbps. Receiver also have 256 byte deep FIFO of each 8 bit wide and
generates the interrupts to the processor as and when the data is ready in FIFO and
also when it receives errors.
Responsibilities:
 Developed UVM Test bench.
 Written the test cases.
 Implemented the Functional Coverage which validates the protocol.
2. Verification of “Asynchronous-FIFO” using “UVM-SV”
Tool Used : Questasim
Language Used : System Verilog.
Role : Verification Engineer
Description:
A FIFO is a special type of buffer. The name FIFO stands for first in first out and
means that the data written into the buffer first comes out of it first. Asynchronous
FIFO means Write and read happens at the same time with different clock
frequencies. In this asynchronous FIFO we are using Gray counters.
Responsibilities:
 Involved in creating verification environment in UVM.
 Involved in creating Test cases.
3. Verification of “AMBA APB3.0“Interface Protocol using “UVM-SV”
Tools Used : Questasim.
Language Used : System Verilog
Role : Verification Engineer
Description:
The APB is part of the AMBA 3 protocol family. It provides a low-cost interface that
isoptimized for minimal power consumption and reduced interface complexity. The
APB interfaces to any peripherals that are low-bandwidth and do not require the high
performance of a pipelined bus interface.
Responsibilities:
 Involved in creating verification environment in UVM.
 Involved in creating Test cases.
4. Verification of “Dual Port RAM –RTL design and verification.
Tools Used : Questasim.
Language Used : System Verilog
Role : Verification Engineer
Description:
Implemented the Dual Port Ram using Verilog HDL independently Architected the
class based verification environment using SV Verified the RTL module using SV.
Responsibilities:
 Involved in creating verification environment in UVM.
 Involved in creating Test cases.
EDUCATION:
No Degree Passed Out
Year
Percentage Board/University
1 B.Tech
(Electronics & Communication
Engg)
April 2013 69% Jawaharlal Nehru
Technological
University,Hyderabad
2 Intermediate(10+2) April 2009 70.7% Board Of
Intermediate.
3 S.S.C (10th ) March 2007 67% Board Of Secondary
Education.
ACHIEVEMENTS:
 Qualified in PGECET 2013.
PROFILE & STRENGTHS:
 Qualified B.Tech with excellent technical proficiency and demonstrated
analytical abilities and creativity.
 Detail-oriented, with proven communication and analytical skills, can handle
multiple tasks to meet deadlines in pressure situations.
 Possess good innovative leadership qualities, adaptability, confidence and
strong belief in completing the assigned work successfully and zeal to learn
new things.
PERSONAL DETAILS:
Name : Gnaneshwar jogu
Father’s Name : Sayanna.
Date of Birth : 10-03-1991.
Gender : Male
Languages Known : English, Hindi, and Telugu.
DECLARATION:
I hereby declare that the above-mentioned details are true to my knowledge
and I assure you that I would work to my level best if I were selected in your
company.
PLACE: Bangalore
DATE: (Gnaneshwar jogu)

More Related Content

What's hot

System verilog control flow
System verilog control flowSystem verilog control flow
System verilog control flow
Pushpa Yakkala
 
Cracking Digital VLSI Verification Interview: Interview Success
Cracking Digital VLSI Verification Interview: Interview SuccessCracking Digital VLSI Verification Interview: Interview Success
Cracking Digital VLSI Verification Interview: Interview Success
Ramdas Mozhikunnath
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coverage
Pushpa Yakkala
 
UVM TUTORIAL;
UVM TUTORIAL;UVM TUTORIAL;
UVM TUTORIAL;
Azad Mishra
 
UVM ARCHITECTURE FOR VERIFICATION
UVM ARCHITECTURE FOR VERIFICATIONUVM ARCHITECTURE FOR VERIFICATION
UVM ARCHITECTURE FOR VERIFICATION
IAEME Publication
 
AXI Protocol.pptx
AXI Protocol.pptxAXI Protocol.pptx
AXI Protocol.pptx
Yazan Yousef
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
Ramdas Mozhikunnath
 
UVM Update: Register Package
UVM Update: Register PackageUVM Update: Register Package
UVM Update: Register Package
DVClub
 
Introduction about APB Protocol
Introduction about APB ProtocolIntroduction about APB Protocol
Introduction about APB Protocol
Pushpa Yakkala
 
Ral by pushpa
Ral by pushpa Ral by pushpa
Ral by pushpa
Pushpa Yakkala
 
HIGH SPEED NETWORKS
HIGH SPEED NETWORKSHIGH SPEED NETWORKS
HIGH SPEED NETWORKS
Kathirvel Ayyaswamy
 
SystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification MethodologiesSystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification Methodologies
Ramdas Mozhikunnath
 
APB protocol v1.0
APB protocol v1.0APB protocol v1.0
APB protocol v1.0
Azad Mishra
 
Verification strategies
Verification strategiesVerification strategies
Verification strategies
Vinchipsytm Vlsitraining
 
System verilog verification building blocks
System verilog verification building blocksSystem verilog verification building blocks
System verilog verification building blocks
Nirav Desai
 
UVM Ral model usage
UVM Ral model usageUVM Ral model usage
UVM Ral model usage
Parth Pandya
 
How to create SystemVerilog verification environment?
How to create SystemVerilog verification environment?How to create SystemVerilog verification environment?
How to create SystemVerilog verification environment?
Sameh El-Ashry
 
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertions
HARINATH REDDY
 
AMBA 2.0 PPT
AMBA 2.0 PPTAMBA 2.0 PPT
AMBA 2.0 PPT
Nirav Desai
 
faults in digital systems
faults in digital systemsfaults in digital systems
faults in digital systems
dennis gookyi
 

What's hot (20)

System verilog control flow
System verilog control flowSystem verilog control flow
System verilog control flow
 
Cracking Digital VLSI Verification Interview: Interview Success
Cracking Digital VLSI Verification Interview: Interview SuccessCracking Digital VLSI Verification Interview: Interview Success
Cracking Digital VLSI Verification Interview: Interview Success
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coverage
 
UVM TUTORIAL;
UVM TUTORIAL;UVM TUTORIAL;
UVM TUTORIAL;
 
UVM ARCHITECTURE FOR VERIFICATION
UVM ARCHITECTURE FOR VERIFICATIONUVM ARCHITECTURE FOR VERIFICATION
UVM ARCHITECTURE FOR VERIFICATION
 
AXI Protocol.pptx
AXI Protocol.pptxAXI Protocol.pptx
AXI Protocol.pptx
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
 
UVM Update: Register Package
UVM Update: Register PackageUVM Update: Register Package
UVM Update: Register Package
 
Introduction about APB Protocol
Introduction about APB ProtocolIntroduction about APB Protocol
Introduction about APB Protocol
 
Ral by pushpa
Ral by pushpa Ral by pushpa
Ral by pushpa
 
HIGH SPEED NETWORKS
HIGH SPEED NETWORKSHIGH SPEED NETWORKS
HIGH SPEED NETWORKS
 
SystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification MethodologiesSystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification Methodologies
 
APB protocol v1.0
APB protocol v1.0APB protocol v1.0
APB protocol v1.0
 
Verification strategies
Verification strategiesVerification strategies
Verification strategies
 
System verilog verification building blocks
System verilog verification building blocksSystem verilog verification building blocks
System verilog verification building blocks
 
UVM Ral model usage
UVM Ral model usageUVM Ral model usage
UVM Ral model usage
 
How to create SystemVerilog verification environment?
How to create SystemVerilog verification environment?How to create SystemVerilog verification environment?
How to create SystemVerilog verification environment?
 
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertions
 
AMBA 2.0 PPT
AMBA 2.0 PPTAMBA 2.0 PPT
AMBA 2.0 PPT
 
faults in digital systems
faults in digital systemsfaults in digital systems
faults in digital systems
 

Viewers also liked

портфоліо чехова
портфоліо чеховапортфоліо чехова
портфоліо чехова
Богдан Мітлицький
 
سُبْحَانَ الَّذِى فِى السَّمَــــآءِ عَرْشُــهُ
سُبْحَانَ الَّذِى فِى السَّمَــــآءِ عَرْشُــهُسُبْحَانَ الَّذِى فِى السَّمَــــآءِ عَرْشُــهُ
سُبْحَانَ الَّذِى فِى السَّمَــــآءِ عَرْشُــهُ
Language Explore
 
Joshua bday ppt
Joshua bday pptJoshua bday ppt
Joshua bday ppt
akshay_bh
 
من أدعية أول العام وعاشوراء الفضيل
من أدعية أول العام وعاشوراء الفضيل من أدعية أول العام وعاشوراء الفضيل
من أدعية أول العام وعاشوراء الفضيل
Language Explore
 
Olympiabreikki
OlympiabreikkiOlympiabreikki
Happy Bday Sahu
Happy Bday SahuHappy Bday Sahu
Happy Bday Sahu
akshay_bh
 
проект тригонометричні рівняння і нерівності
проект тригонометричні рівняння і нерівностіпроект тригонометричні рівняння і нерівності
проект тригонометричні рівняння і нерівності
Богдан Мітлицький
 
Mantsabreikki Euroopan pääkaupungit 1
Mantsabreikki Euroopan pääkaupungit 1Mantsabreikki Euroopan pääkaupungit 1
Marketing Plan Presentation
Marketing Plan PresentationMarketing Plan Presentation
Marketing Plan Presentation
Sukalyan Banerjee
 
Managerial Skills Workshop: Leadership Plans
Managerial Skills Workshop:  Leadership PlansManagerial Skills Workshop:  Leadership Plans
Managerial Skills Workshop: Leadership Plans
Language Explore
 
Uart
UartUart
Uart
UartUart
Uart
cs1090211
 
Uart
UartUart
Uart
sean chen
 
X breikki -kumpi on parempi?
X breikki -kumpi on parempi?X breikki -kumpi on parempi?
8051 serial communication
8051 serial communication8051 serial communication
8051 serial communication
asteriskbimal
 
8051 serial communication-UART
8051 serial communication-UART8051 serial communication-UART
8051 serial communication-UART
Pantech ProLabs India Pvt Ltd
 
UART
UARTUART
Verilog HDL
Verilog HDLVerilog HDL
Verilog HDL
Mantra VLSI
 

Viewers also liked (20)

портфоліо чехова
портфоліо чеховапортфоліо чехова
портфоліо чехова
 
سُبْحَانَ الَّذِى فِى السَّمَــــآءِ عَرْشُــهُ
سُبْحَانَ الَّذِى فِى السَّمَــــآءِ عَرْشُــهُسُبْحَانَ الَّذِى فِى السَّمَــــآءِ عَرْشُــهُ
سُبْحَانَ الَّذِى فِى السَّمَــــآءِ عَرْشُــهُ
 
Elvytysbreikki
ElvytysbreikkiElvytysbreikki
Elvytysbreikki
 
Joshua bday ppt
Joshua bday pptJoshua bday ppt
Joshua bday ppt
 
من أدعية أول العام وعاشوراء الفضيل
من أدعية أول العام وعاشوراء الفضيل من أدعية أول العام وعاشوراء الفضيل
من أدعية أول العام وعاشوراء الفضيل
 
Olympiabreikki
OlympiabreikkiOlympiabreikki
Olympiabreikki
 
Happy Bday Sahu
Happy Bday SahuHappy Bday Sahu
Happy Bday Sahu
 
проект тригонометричні рівняння і нерівності
проект тригонометричні рівняння і нерівностіпроект тригонометричні рівняння і нерівності
проект тригонометричні рівняння і нерівності
 
Mantsabreikki Euroopan pääkaupungit 1
Mantsabreikki Euroopan pääkaupungit 1Mantsabreikki Euroopan pääkaupungit 1
Mantsabreikki Euroopan pääkaupungit 1
 
Marketing Plan Presentation
Marketing Plan PresentationMarketing Plan Presentation
Marketing Plan Presentation
 
Managerial Skills Workshop: Leadership Plans
Managerial Skills Workshop:  Leadership PlansManagerial Skills Workshop:  Leadership Plans
Managerial Skills Workshop: Leadership Plans
 
Uart
UartUart
Uart
 
Uart
UartUart
Uart
 
Uart
UartUart
Uart
 
X breikki -kumpi on parempi?
X breikki -kumpi on parempi?X breikki -kumpi on parempi?
X breikki -kumpi on parempi?
 
Pokemon go breikki
Pokemon go breikkiPokemon go breikki
Pokemon go breikki
 
8051 serial communication
8051 serial communication8051 serial communication
8051 serial communication
 
8051 serial communication-UART
8051 serial communication-UART8051 serial communication-UART
8051 serial communication-UART
 
UART
UARTUART
UART
 
Verilog HDL
Verilog HDLVerilog HDL
Verilog HDL
 

Similar to gnaneshwar.resume

Sudhir_Kr_Resume
Sudhir_Kr_ResumeSudhir_Kr_Resume
Sudhir_Kr_Resume
Sudhir Kumar
 
Vinod_CV
Vinod_CVVinod_CV
Vinod_CV
vinod p
 
krishna@GRAPH
krishna@GRAPHkrishna@GRAPH
praveen_rst
praveen_rstpraveen_rst
Pavan.R_resume
Pavan.R_resumePavan.R_resume
Pavan.R_resume
Pavan Ramisetty
 
MAANEZ SHAH 5
MAANEZ SHAH 5MAANEZ SHAH 5
MAANEZ SHAH 5
MAANEZ SHAH
 
Prasad_Meduri
Prasad_MeduriPrasad_Meduri
Prasad_Meduri
Prasad Meduri
 
Hemanth_Krishnan_resume
Hemanth_Krishnan_resumeHemanth_Krishnan_resume
Hemanth_Krishnan_resume
Hemanth Krishnan
 
UVM_Full_Print_n.pptx
UVM_Full_Print_n.pptxUVM_Full_Print_n.pptx
UVM_Full_Print_n.pptx
nikitha992646
 
Guru charan_Resume
Guru charan_ResumeGuru charan_Resume
Guru charan_Resume
Guru Charan Nookala
 
Lokesh_Resume_2016
Lokesh_Resume_2016Lokesh_Resume_2016
Lokesh_Resume_2016
Lokesh Mistry
 
Lokesh_Resume_2016
Lokesh_Resume_2016Lokesh_Resume_2016
Lokesh_Resume_2016
Lokesh Mistry
 
Karunanidhi e qa in 8 +years exp in automation selenium jmeter jenkins manual
Karunanidhi e  qa in  8 +years exp in automation selenium jmeter jenkins manual Karunanidhi e  qa in  8 +years exp in automation selenium jmeter jenkins manual
Karunanidhi e qa in 8 +years exp in automation selenium jmeter jenkins manual
KARUNANIDHI ETHIRAJ
 
Resume(1)
Resume(1)Resume(1)
Resume(1)
avinash muchala
 
Resume
ResumeResume
Resume
Vinay Patil
 
Sangeetha_G
Sangeetha_GSangeetha_G
Harsh gaurav
Harsh gauravHarsh gaurav
Harsh gaurav
Harsh Gaurav
 
Harshavardhan_554403_latest_projects_TCS_3.2Years
Harshavardhan_554403_latest_projects_TCS_3.2YearsHarshavardhan_554403_latest_projects_TCS_3.2Years
Harshavardhan_554403_latest_projects_TCS_3.2Years
Harsha Vardhan R
 
PrathikR_Resume
PrathikR_ResumePrathikR_Resume
PrathikR_Resume
Prathik R
 
resume
resumeresume
resume
Amritha pv
 

Similar to gnaneshwar.resume (20)

Sudhir_Kr_Resume
Sudhir_Kr_ResumeSudhir_Kr_Resume
Sudhir_Kr_Resume
 
Vinod_CV
Vinod_CVVinod_CV
Vinod_CV
 
krishna@GRAPH
krishna@GRAPHkrishna@GRAPH
krishna@GRAPH
 
praveen_rst
praveen_rstpraveen_rst
praveen_rst
 
Pavan.R_resume
Pavan.R_resumePavan.R_resume
Pavan.R_resume
 
MAANEZ SHAH 5
MAANEZ SHAH 5MAANEZ SHAH 5
MAANEZ SHAH 5
 
Prasad_Meduri
Prasad_MeduriPrasad_Meduri
Prasad_Meduri
 
Hemanth_Krishnan_resume
Hemanth_Krishnan_resumeHemanth_Krishnan_resume
Hemanth_Krishnan_resume
 
UVM_Full_Print_n.pptx
UVM_Full_Print_n.pptxUVM_Full_Print_n.pptx
UVM_Full_Print_n.pptx
 
Guru charan_Resume
Guru charan_ResumeGuru charan_Resume
Guru charan_Resume
 
Lokesh_Resume_2016
Lokesh_Resume_2016Lokesh_Resume_2016
Lokesh_Resume_2016
 
Lokesh_Resume_2016
Lokesh_Resume_2016Lokesh_Resume_2016
Lokesh_Resume_2016
 
Karunanidhi e qa in 8 +years exp in automation selenium jmeter jenkins manual
Karunanidhi e  qa in  8 +years exp in automation selenium jmeter jenkins manual Karunanidhi e  qa in  8 +years exp in automation selenium jmeter jenkins manual
Karunanidhi e qa in 8 +years exp in automation selenium jmeter jenkins manual
 
Resume(1)
Resume(1)Resume(1)
Resume(1)
 
Resume
ResumeResume
Resume
 
Sangeetha_G
Sangeetha_GSangeetha_G
Sangeetha_G
 
Harsh gaurav
Harsh gauravHarsh gaurav
Harsh gaurav
 
Harshavardhan_554403_latest_projects_TCS_3.2Years
Harshavardhan_554403_latest_projects_TCS_3.2YearsHarshavardhan_554403_latest_projects_TCS_3.2Years
Harshavardhan_554403_latest_projects_TCS_3.2Years
 
PrathikR_Resume
PrathikR_ResumePrathikR_Resume
PrathikR_Resume
 
resume
resumeresume
resume
 

gnaneshwar.resume

  • 1. GNANESHWAR JOGU. Mobile : +91-9738411835. #39,13th Main, Sector-4, Email :gnaneshwarjs123@gmail.com HSR Layout, Bangalore. CAREER OBJECTIVE: Seeking a good career where my technical knowledge and analytical skills will be utilized for the growth of the organization in addition to providing me a good learning opportunity. PROFESSIONAL SUMMARY:  Good understanding of ASIC verification flow.  Good programming skills in System Verilog and Verilog Hardware Description Language.  Good understanding and programming skills using Verification methodologies UVM.  Hands on experience with EDA tools (Questasim,Modelsim).  Good Understanding of HVL concepts. Able to code test scenario using existing given test plan. Knowledge of HVL test bench components, debugging HVL environment.  Understanding of functional coverage metric and identification of additional scenarios.  Good programming medium complex HVL test bench modules like monitors, checker, BFMs etc., from the given verification plan and functional coverage modules based on coverage plan.  Experience of developing the verification environment using System Verilog and UVM. WORK EXPERIENCE:  Working as lab co-ordinator in “Expert HDL training & consultancy services”, Bangalore from March15th2014 – till now. TECHNICAL SKILLS: Category Tools/Languages HDL programming Verilog. HVL Programming System Verilog. Methodologies UVM. EDA Tools Modelsim & Questasim, VCS. Scripting Languages Basics of Perl. Operating system Linux, Windows.
  • 2. Academic Projects: UG project: “AN ADAPTIVE HUFFMAN DECODING ALGORITHM FOR MP3 DECODER” PROJECTS During training: 1.Verification of “UART” protocol using “UVM-SV” Tools Used : Questasim. Language Used: System Verilog. Role : Verification Engineer Description: UART is a popular serial asynchronous communication to connect the processor and a peripheral. It essentially consists of transmitter and receiver and interrupt controller. Transmitter generates the Uart frame and sends the serial data with baud rate of maximum 3Mbps. Receiver also have 256 byte deep FIFO of each 8 bit wide and generates the interrupts to the processor as and when the data is ready in FIFO and also when it receives errors. Responsibilities:  Developed UVM Test bench.  Written the test cases.  Implemented the Functional Coverage which validates the protocol. 2. Verification of “Asynchronous-FIFO” using “UVM-SV” Tool Used : Questasim Language Used : System Verilog. Role : Verification Engineer Description: A FIFO is a special type of buffer. The name FIFO stands for first in first out and means that the data written into the buffer first comes out of it first. Asynchronous FIFO means Write and read happens at the same time with different clock frequencies. In this asynchronous FIFO we are using Gray counters. Responsibilities:  Involved in creating verification environment in UVM.  Involved in creating Test cases.
  • 3. 3. Verification of “AMBA APB3.0“Interface Protocol using “UVM-SV” Tools Used : Questasim. Language Used : System Verilog Role : Verification Engineer Description: The APB is part of the AMBA 3 protocol family. It provides a low-cost interface that isoptimized for minimal power consumption and reduced interface complexity. The APB interfaces to any peripherals that are low-bandwidth and do not require the high performance of a pipelined bus interface. Responsibilities:  Involved in creating verification environment in UVM.  Involved in creating Test cases. 4. Verification of “Dual Port RAM –RTL design and verification. Tools Used : Questasim. Language Used : System Verilog Role : Verification Engineer Description: Implemented the Dual Port Ram using Verilog HDL independently Architected the class based verification environment using SV Verified the RTL module using SV. Responsibilities:  Involved in creating verification environment in UVM.  Involved in creating Test cases. EDUCATION: No Degree Passed Out Year Percentage Board/University 1 B.Tech (Electronics & Communication Engg) April 2013 69% Jawaharlal Nehru Technological University,Hyderabad 2 Intermediate(10+2) April 2009 70.7% Board Of Intermediate. 3 S.S.C (10th ) March 2007 67% Board Of Secondary Education.
  • 4. ACHIEVEMENTS:  Qualified in PGECET 2013. PROFILE & STRENGTHS:  Qualified B.Tech with excellent technical proficiency and demonstrated analytical abilities and creativity.  Detail-oriented, with proven communication and analytical skills, can handle multiple tasks to meet deadlines in pressure situations.  Possess good innovative leadership qualities, adaptability, confidence and strong belief in completing the assigned work successfully and zeal to learn new things. PERSONAL DETAILS: Name : Gnaneshwar jogu Father’s Name : Sayanna. Date of Birth : 10-03-1991. Gender : Male Languages Known : English, Hindi, and Telugu. DECLARATION: I hereby declare that the above-mentioned details are true to my knowledge and I assure you that I would work to my level best if I were selected in your company. PLACE: Bangalore DATE: (Gnaneshwar jogu)